electronica digital pdf

23
Electrónica Digital Instituto Tecnológico de Tapachula

Upload: enixe-itzel

Post on 18-Dec-2015

109 views

Category:

Documents


14 download

TRANSCRIPT

  • ~ 1 ~

    Electrnica Digital

    Electrnica Digital

    Instituto Tecnolgico de Tapachula

  • ~ 2 ~

    Electrnica Digital

    Instituto Tecnolgico de Tapachula

    Unidad 4

    Lgica secuencial

    Ing. Electromecnica

    5o semestre

    Integrantes: Emmanuel Yoc Sols

    Alexis Rodrguez Rodas Alejandro Villeda Aldaran

    Kedvin Alegra Lpez Royer Eduardo Camacho Cruz

    Enixe Itzel Lpez Cifuentes Fredy Jaciel Ochoa Gamboa

  • ~ 3 ~

    Electrnica Digital

    Unidad 4

    Lgica secuencial

    Pg.

    Introduccin 4

    Diseo de circuitos generadores de pulso de reloj 5

    Parmetro elctricos de las seales de reloj utilizadas en circuitos

    secuenciales

    8

    Flip flop ( R,S,T,D,J-K) 9

    Registros de corrimiento 16

    Contadores 17

    Modelos de circuitos secuenciales sncronos 18

    Anlisis y sntesis de circuitos secuenciales sncronos 20

    Conclusin 22

    Bibliografa 23

  • ~ 4 ~

    Electrnica Digital

    Introduccin: La Lgica Secuencial es el Mtodo de ordenamiento de

    acciones, razonamiento, y expresin de la automatizacin de

    maquinaria, equipos y procesos. Y su interrelacin con el

    hombre. Esto nos da por consiguiente los binomios, hombre-

    mquina, hombre-proceso. La lgica secuencial es un tipo de circuito de lgica que salida dependa no slo de la actual

    entrada pero tambin de la historia de la entrada. Esto est en

    contraste con lgica combinational, del que salida es una

    funcin, y solamente de, la actual entrada. Es decir la lgica

    secuencial tiene almacenaje (memoria) mientras que la lgica combinational no. La lgica

    secuencial por lo tanto se utiliza para construir algunos tipos de computadora memoria, otros

    tipos de retrasan y los elementos del almacenaje, y autmatas finito. La mayora de los circuitos de

    computadora prcticos son una mezcla de la lgica combinational y secuencial. En los Circuitos

    Combinacionales las salidas estn determinadas por completo por los valores presentes en las

    entradas. En los Circuitos Secuenciales las salidas dependen de la conducta anterior del circuito,

    as como de los valores presentes en las entradas. Los Circuitos Secuenciales se realizan usando

    Lgica Combinacionales y uno o ms Flip-Flops. Los sistemas digitales que introducen la

    dependencia temporal son conocidos como sistemas secuenciales. Una denicin ms rigurosa de

    sistema secuencial puede ser la siguiente: Un circuito de conmutacin secuencial se dene como

    un circuito bivaluado en el cual, la salida en cualquier instante depende de las entradas en dicho

    instante y de la historia pasada (o secuencia) de entradas. Esta denicin implica una serie de

    caractersticas inherentes a estos sistemas. Entre stas podemos destacar las siguientes:

    Poseen uno o ms caminos de realimentacin, es decir, una o ms seales internas o De salida se vuelven a introducir como seales de entradas. Gracias a esta caracterstica se garantiza la dependencia de la operacin con la secuencia anterior. Como es lgico, existe una dependencia explcita del tiempo. Esta dependencia se Produce en los lazos de realimentacin antes mencionados. En estos lazos es necesario distinguir entre las salidas y las entradas realimentadas. Esta distincin se traducir en un retraso de ambas seales (en el caso ms ideal). Algunas de las caractersticas de la lgica secuencial son: Componentes secuenciales:

    Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus entradas y de los valores almacenados en los elementos de memoria.

    Los valores almacenados en los elementos de memoria definen el estado del circuito secuencial.

    Ejemplo: contestador telefnico que responde tras cuatro timbres de llamada Los componentes secuenciales se dividen en:

    1. Asncronos: Su salida y su estado se puede alterar en cuanto cambien los valores de sus entradas

    2. Sncronos: Su salida y su estado se alteran, si acaso, slo en determinados instantes

    definidos a partir de una seal de reloj.

  • ~ 5 ~

    Electrnica Digital

    Diseo de circuitos generadores de pulsos de reloj

    En todo laboratorio de electrnica digital que se precie, desde el profesional hasta el ms

    modesto, de un principiante, debe disponer de un equipo generador de pulsos. No se puede

    realizar un trabajo metdico o un experimento con circuitos digitales, sin disponer de una

    referencia de tiempo precisa, dicha referencia de tiempo, forma la base para la circuitera digital

    secuencial. No es necesario que se base en un intervalo de un segundo para cada referencia de

    tiempo, de hecho puede servir cualquier intervalo, dependiendo de la aplicacin. Sin embargo, un

    segundo es el incremento normal de tiempo usado por la ciencia y es fcilmente derivado de la

    lnea de red de CA domstica, la que alimenta al equipo.

    Definicin. En electrnica, llamamos seal a una tensin que vara

    su potencial en el tiempo, el medio por el que viaja o se

    propaga es un factor que ejerce una resistencia al paso

    de la seal, dependiendo del medio, as se ver

    influenciada la seal, llegando a disminuir hasta

    potencial cero, este efecto se llama atenuacin. Una

    seal que viaje por el aire, lo har en todas las

    direcciones y alcanzar una distancia que depender

    bsicamente de dos factores, la frecuencia y la potencia. La figura de la derecha muestra una parte

    (pulso) de una seal, el valor de la tensin es un factor de segundo orden que por ahora no nos

    preocupa.

    Un pulso, como el que se aprecia en la

    imagen anterior, est compuesto por una

    seal rectangular, la podemos definir como

    una tensin que parte de 0V sube hasta una

    tensin digamos de 5V, que se mantiene por

    un tiempo y de pronto cae a 0V de nuevo

    (no es muy cientfica la definicin, pero si explicita). La duracin de un pulso puede ser muy larga

    (incluso das) o muy corta (pico segundos o menos), En electrnica, cuando hablamos de trenes de

    pulsos, nos referimos a una serie de pulsos continuados por un intervalo de tiempo. Dos factores

    muy importantes en un tren de pulsos, por ser repetitivo, es la frecuencia de repeticin y su

    nivel. Con estos dos factores, se puede conocer su frecuencia.

    Figura 1 pulso, seal

  • ~ 6 ~

    Electrnica Digital

    La imagen de la izquierda, nos presenta una primera

    forma de onda de proporciones marca-espacio del 50:50

    que corresponde a lo que se llama una onda cuadrada,

    en la parte del medio esta proporcin aproximada es de

    10:1 y por ltimo la proporcin es de 1:10 tambin

    aproximadamente. No obstante y a pesar del poco

    parecido, hay que notar que las tres formas de onda

    tienen la misma frecuencia, todos los pulsos empiezan

    en el mismo instante.

    En muchos circuitos digitales, nosotros necesitamos un tren continuo y regular de pulsos para

    controlar el momento adecuado de una secuencia y elegir entre varios circuitos. En algunos casos,

    necesitaremos dos trenes de pulso separados, uno inverso del otro. En otros casos, podemos

    querer dos ondas cuadradas, trenes de pulso en cuadratura (desfasados 90).

    Ejemplo:

    Temporizador o generador de pulsos con 555

    En esta ocasin tenemos un 555 configurado como multivibrador estable y el cual nos entrega un

    tren de pulsos cuadrados que pueden ser variados por medio de un potencimetro (RV1), bueno si

    se quiere saber ms afondo de esta configuracin recomiendo leer la publicacin del circuito

    integrado 555.

    A continuacin se muestra el circuito:

    Figura 2 forma de onda

  • ~ 7 ~

    Electrnica Digital

    Este circuito puede trabajar con VCC de 5 a 9 Volts sin ningn problema adems de que tambin

    es posible cariar el valor de C2 para lograr otros niveles de frecuencia.

    MATERIAL:

    1 Circuito integrado 555

    1 Potencimetro de 20K (depende del tiempo que se desee alcanzar puedes ponerle

    uno ms grande o ms pequeo)

    1 Resistencia de 1K

    1 Resistencia de 330

    1 Capacitor de 0.01F

    1 Capacitor (C1) que puede variar de 0.1F a 100F (Depende del tiempo que se desea

    alcanzar)

    1 LED (color al gusto)

  • ~ 8 ~

    Electrnica Digital

    Parmetros elctricos de las seales de reloj utilizadas en circuitos secuenciales

    Una seal digital es aquella que presenta una variacin discontinua con el tiempo y que slo puede tomar ciertos valores discretos. Su forma caracterstica es ampliamente conocida: la seal bsica es una onda cuadrada (pulsos) y las representaciones se realizan en el dominio del tiempo. Sus parmetros son:

    Altura de pulso (nivel elctrico) Duracin (ancho de pulso) Frecuencia de repeticin (velocidad pulsos por segundo)

    Las seales digitales no se producen en el mundo fsico como tales, sino que son creadas por el hombre y tiene una tcnica particular de tratamiento, y como dijimos anteriormente, la seal bsica es una onda cuadrada, cuya representacin se realiza necesariamente en el dominio del tiempo. La utilizacin de seales digitales para transmitir informacin se puede realizar de varios modos: el primero, en funcin del nmero de estados distintos que pueda tener. Si son dos los estados posibles, se dice que son binarias, si son tres, ternarias, si son cuatro, cuaternarias y as sucesivamente.

    Los modos se representan por grupos de unos y de ceros, siendo, por tanto, lo que se denomina el contenido lgico de informacin de la seal. La segunda posibilidad es en cuanto a su naturaleza elctrica. Una seal binaria se puede representar como la variacin de una amplitud (nivel elctrico) respecto al tiempo (ancho del pulso). Resumiendo, las seales digitales slo pueden adquirir un nmero finito de estados diferentes, se clasifican segn el nmero de estados (binarias, ternarias, etc.)y segn su naturaleza elctrica(unipolares y bipolares).

    Una seal digital vara de forma discreta o discontinua a lo largo del tiempo. Parece como si la seal digital fuera variando a saltos entre un valor mximo y un valor mnimo. Por otra parte, una seal analgica es una seal que vara de forma continua a lo largo del tiempo.

    La mayora de las seales que representan una magnitud fsica (temperatura, luminosidad, humedad, etc.) son seales analgicas. Las seales analgicas pueden tomar todos los valores posibles de un intervalo; y las digitales solo pueden tomar dos valores posibles.

  • ~ 9 ~

    Electrnica Digital

    Flip- Flops (R-S, T, D, J-K)

    El "Flip-flop" es el nombre comn que se le da a los dispositivos de dos estados, que sirven como

    memoria bsica para las operaciones de lgica secuencial. Los Flip-Flops son ampliamente usados

    para el almacenamiento y transferencia de datos digitales y se usan normalmente en unidades

    llamadas "registros", para el almacenamiento de datos numricos binarios. La importancia de los

    circuitos lgicos se debe a su caracterstica de memoria. Los Flip - Flops tambin se denominan

    "cerrojos", "multivibradores biestables" o "binarios".

    Aplicaciones

    Los filp-flops son utilizados para hacer contadores, registros, son las bases de las

    memorias actuales, aunque ya no los tengan fsicamente incorporados.

    Un biestables puede usarse para almacenar un bit. La informacin contenida en

    muchos biestables puede representar el estado de un secuenciador, el valor de un

    contador, un carcter ASCII en la memoria de un ordenador, o cualquier otra clase de

    informacin. Un uso corriente es el diseo de mquinas de estado finitas electrnicas. Los

    biestables almacenan el estado previo de la mquina que se usa para calcular el siguiente.

    El T es til para contar. Una seal repetitiva en la entrada de reloj hace que el biestables cambie

    de estado por cada transicin alto-bajo si su entrada T est a nivel 1. La salida de un biestables

    puede conectarse a la entrada de reloj de la siguiente y as sucesivamente. La salida final del

    conjunto considerado como una cadena de salidas de todos los biestables es el conteo en cdigo

    binario del nmero de ciclos en la primera entrada de reloj hasta un mximo de 2n-1, donde n es

    el nmero de biestables usados. Una cadena de biestables T como la descrita anteriormente

    tambin sirve para la divisin de la frecuencia de entrada entre 2n, donde n es el nmero de

    biestables entre la entrada y la ltima salida.

    FLIP-FLOPS RS

    Este es el Flip - flop bsico, su smbolo es el siguiente:

    El Flip-flop tiene dos entradas R (reset) y S (set), se encuentran a la izquierda del smbolo. Este Flip-flop tiene activas las entradas en el nivel BAJO, lo cual se indica por los circulitos de las entradas R y S. Los Flip-flop tienen dos salidas complementarias, que se denominan Q y 1, la salida Q es la salida normal y 1 = 0.

    Figura 3.- Smbolo lgico de un Flip-flop SR

  • ~ 10 ~

    Electrnica Digital

    El Flip-flop RS se puede construir a partir de puertas lgicas. A continuacin mostraremos un Flip-flop construido a partir de dos puertas NAND, y al lado veremos su tabla de verdad correspondiente.

    Modo de operacin Entradas Salidas

    R S Q Q

    Prohibido 0 0 1 1

    Set 0 1 1 0

    Reset 1 0 0 1

    Mantenimiento 1 1 No cambia

    Figura 5: tabla de verdad del Flip-flop SR

    Observar la realimentacin caracterstica de una puerta NAND a la entrada de la otra. En la tabla

    de la verdad se define la operacin del Flip-flop. Primero encontramos el estado "prohibido" en

    donde ambas salidas estn a 1, o nivel ALTO.

    Luego encontramos la condicin "set" del Flip-flop. Aqu un nivel BAJO, o cero lgico, activa la

    entrada de set(S). Esta pone la salida normal Q al nivel alto, o 1. Seguidamente encontramos la

    condicin "reset". El nivel BAJO, o 0, activa la entrada de reset, borrando (o poniendo en reset) la

    salida normal Q.

    La cuarta lnea muestra la condicin de "inhabilitacin" o "mantenimiento", del Flip-flop RS. Las

    salidas permanecen como estaban antes de que existiese esta condicin, es decir, no hay cambio

    en las salidas de sus estados anteriores. Indicar la salida de set, significa poner la salida Q a 1, de

    igual forma, la condicin reset pone la salida Q a 0.

    La salida complementaria nos muestra lo opuesto. Estos Flip-flop se pueden conseguir a travs de

    circuitos integrados.

    Figura 4: circuito equivalente de un Flip-flop SR

  • ~ 11 ~

    Electrnica Digital

    D (Data O Delay)

    Smbolos normalizados: Biestables D a) activo por nivel alto y b) activo por flanco de subida. El flip-

    flop D resulta muy til cuando se necesita almacenar un nico bit de datos (1 o 0). Si se aade un

    inversor a un flip-flop S-R obtenemos un flip-flop D bsico. El funcionamiento de un dispositivo

    activado por el flanco negativo es, por supuesto, idntico, excepto que el disparo tiene lugar en el

    flanco de bajada del impulso del reloj. Recuerde que Q sigue a D en cada flanco del impulso de

    reloj. Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y bajo), cuya

    salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo, C. En funcin

    del modo de activacin de dicha entrada de sincronismo, existen dos tipos:

    Activo por nivel (alto o bajo), tambin denominado registro o cerrojo (latch en ingls). Activo por

    flanco (de subida o de bajada). La ecuacin caracterstica del biestable D que describe su

    comportamiento es:

    =

    Y su tabla de verdad:

    D Q Qsiguiente

    0 X 0

    1 X 1

    X=no importa

    Esta bscula puede verse como una primitiva lnea de retardo o una retencin de orden cero (zero

    order hold en ingls), ya que los datos que se introducen, se obtienen en la salida un ciclo de reloj

    despus. Esta caracterstica es aprovechada para sintetizar funciones de procesamiento digital de

    seales (DSP en ingls) mediante la transformada Z.

    Ejemplo: 74LS74

  • ~ 12 ~

    Electrnica Digital

    T (TOGGLE)

    Smbolo normalizado: Biestable T activo por flanco de subida. Dispositivo de almacenamiento

    temporal de 2 estados (alto y bajo). El biestable T cambia de estado ("toggle" en ingls) cada vez

    que la entrada de sincronismo o de reloj se dispara mientras la entrada T est a nivel alto. Si la

    entrada T est a nivel bajo, el biestable retiene el nivel previo. Puede obtenerse al unir las

    entradas de control de un biestable JK, unin que se corresponde a la entrada T. La ecuacin

    caracterstica del biestable T que describe su comportamiento es:

    = +

    Y la tabla de verdad:

    T Q Qsiguiente

    0 0 0

    0 1 1

    1 0 1

    1 1 0

    BIESTABLE JK

    Es verstil y es uno de los tipos de flip-flop ms usados. Su funcionamiento es idntico al del flip-

    flop S-R en las condiciones SET, RESET y de permanencia de estado. La diferencia est en que el

    flip-flop J-K no tiene condiciones no validas como ocurre en el S-R. Este dispositivo de

    almacenamiento es temporal que se encuentra dos estados (alto y bajo), cuyas entradas

    principales, J y K, a las que debe el nombre, permiten al ser activadas:

    J: El grabado (set en ingls), puesta a 1 nivel alto de la salida.

    K: El borrado (reset en ingls), puesta a 0 nivel bajo de la salida.

    Si no se activa ninguna de las entradas, el biestable permanece en el estado que posea tras la

    ltima operacin de borrado o grabado. A diferencia del biestable RS, en el caso de activarse

    ambas entradas a la vez, la salida adquirir el estado contrario al que tena. La ecuacin

    caracterstica del biestable JK que describe su comportamiento es:

    = +

    Y su tabla de verdad es:

    J K Q Qsiguiente

    0 0 0 0

    0 0 1 1

    0 1 X 0

    1 0 X 1

    1 1 0 1

    1 1 1 0

    X=no importa

  • ~ 13 ~

    Electrnica Digital

    Un flip flop JK es un refinamiento del flip flop SC, en el que se elimina el estado indeterminado.

    Para J=K=1 el estado futuro ser igual al estado presente negado: Qn+1 =

    TABLA CARACTERISTICA

    S C Qn+

    1

    0 0 Qn

    0 1 0

    1 0 1

    1 1

    Flip Flop tipo D El flip-flop tipo D mostrado en la figura es una modificacin del FF SC. La entrada D va directamente hacia la entrada S y el complemento de D hacia la entrada C. De esta forma tenemos que el estado futuro ser igual al valor de la entrada D.

    TABLA CARACTERISTICA

    D Qn+1

    0 0

    1 1

    Flip Flop tipo T Un flip flop tipo T se obtiene uniendo las dos entradas de un flip flop tipo JK. Si T=0 se mantendr el estado, si T=1 el estado futuro ser igual al complemento del estado presente.

    TABLA CARACTERISTICA

    T Qn+1

    0 Qn

    1

  • ~ 14 ~

    Electrnica Digital

    Los registros y los contadores son bloques funcionales secuenciales que se utilizan extensamente en el diseo de sistemas digitales. Los registros son

    tiles para almacenar y manipular informacin; los contadores se emplean en los circuitos que secuencian y controlan las operaciones de los sistemas digitales.

    Registros de corrimiento

    Un registro no es ms que una agrupacin de biestables del mismo tipo. Puesto que un biestable es capaz de almacenar 1 bit de informacin, si tenemos "n" biestables, el registro ser capaz de almacenar "n" bits de informacin binaria (de forma temporal). El registro ms sencillo consta tan slo de flip-flops sin puertas lgicas externas. Estas puertas son las que determinan los nuevos datos a almacenar. Registros de almacenamiento (tipo D) El registro tipo D es un conjunto de biestables D y es el ms utilizado como almacn temporal de informacin. Cada entrada D se conecta a una lnea de entrada de informacin y cada salida Q se conecta a una lnea de s, activando todos los flip-flops por flanco (de subida o bajada) o por nivel para que la informacin se almacene en todos los biestables al mismo tiempo. El esquema es el de la figura (para n=4 bits):

    En este caso, la seal de reloj es con flanco de subida. Adems, podemos observar como estos flip-Flops tienen una entrada asncrona de Reset activada por 0 (Clear') para la inicializacin del registro con ceros antes de su operacin, aunque esto es opcional (depende del uso que vayamos a darle al registro en el sistema). Lo que haremos ser tener la entrada Clear' a un valor de "1" durante la operacin normal sincronizada, haremos que sea "0" slo cuando queramos inicializar el registro. La transferencia de informacin nueva a un registro se conoce como carga del registro. Como estamos utilizando una seal de reloj por flanco para la sincronizacin de todos los flip-Flops, todos los bits del registro se cargan de manera simultnea. Por ello decimos que la carga se hace en paralelo. La entrada de reloj en los biestables tipo D puede ser por flanco o por nivel. La actuacin por flanco requiere un circuito mucho ms caro que por nivel y no presenta ventajas salvo en casos muy particulares. Por esta razn, en los computadores se utilizan casi siempre registros D activados por nivel, pero con una seal de reloj, realmente se trata de actuacin por nivel con seal de reloj de corta duracin.

  • ~ 15 ~

    Electrnica Digital

    Registros de desplazamiento

    Son registros en los cuales la informacin contenida en un biestable puede ser transferida al biestable adyacente. La transferencia se realiza en todos los biestables simultneamente, es decir, la informacin contenida en el biestable 1 pasa al 2, al mismo tiempo que la informacin que haba antes en el 2 pasa al 3, y as sucesivamente. Estn conectados en cascada. La nueva informacin en el primer biestable se toma de una entrada, y la informacin del ltimo biestable se pierde. Hay varios tipos de registros de desplazamiento:

    Atendiendo a la entrada de informacin en el registro: o Entrada paralelo: se puede modificar el valor de todos los biestables a la vez (igual

    que en un registro tipo D). o Entrada serie: slo hay una entrada conectada a un biestable (como hemos

    descrito anteriormente).

    Atendiendo a la salida de informacin: o Salida paralelo: todos los biestables son accesibles (sus salidas). o Salida serie: slo el ltimo biestable (su salida) es accesible. Los datos van saliendo

    uno detrs de otro, mediante desplazamientos sucesivos.

    Atendiendo al sentido del desplazamiento: o Desplazamiento slo hacia la derecha / izquierda. o Desplazamiento en ambos sentidos, no simultneo. o Rotacin hacia la izquierda / derecha.

    Para caracterizar o designar un registro de desplazamiento hay que indicar todas sus caractersticas: tipo de entrada, tipo de salida y sentido del desplazamiento. Por ejemplo, la designacin: "SRG8" indica que es un registro de desplazamiento (SRG, Shift Register) con una capacidad de 8 bits. Suponiendo registros de 4 bits, los posibles movimientos de datos en los registros de desplazamiento son:

  • ~ 16 ~

    Electrnica Digital

    Sus aplicaciones son muy numerosas. Entre ellas podemos citar: Conversin paralelo-serie y viceversa Rotaciones de los datos (multiplicar y dividir por 2n) Registros de memoria transitorios (buffers) Sistemas para unidades aritmticas (+,,/) Como retardo de lnea digital Como generadores de secuencias

    Contadores

    Los contadores son circuitos secuenciales que cambian de estado ante cambio de una

    seal de entrada evolucionando cclicamente entre un nmero concreto de estados. En los

    contadores sncronos la seal que marca el cambio de estado es, bsicamente, la seal de

    reloj. Existen muy variados tipos de contadores para aplicaciones muy diversas

    aplicaciones. Una de las caractersticas principales del contador es el nmero de elementos de la cuenta. As, se dice que un contador es mdulo N o divide por N, haciendo referencia a que la frecuencia de la seal de reloj puede ser dividida por N utilizando el contador mediante una seal que se fija a 1 al llegar al final de la cuenta. La estructura bsica de un contador es un circuito secuencial sncrono (aunque tambin hay estructuras asncronas) de tipo mquina de Moore formado por N flip-flops (la secuencia puede tener un mximo de 2N datos) disparados por flanco, donde las salidas de los flip-flops son las salidas del circuito (no hay decodificador de salida). Adems del reloj, el contador puede tener otras entradas de control, que permitan poner la salida a 0, precargar datos, mantener la salida estable, realizar varias secuencias distintas (cuenta ascendente o descendente, binaria BCD, etc.). El anlisis de un circuito contador se realiza siguiendo el proceso de anlisis de un circuito secuencial sncrono. El siguiente circuito realiza una cuenta binaria ascendente de 4 bits (de 0 a 15 y vuelta a 0).

  • ~ 17 ~

    Electrnica Digital

    Existen muchos contadores en los catlogos de los dispositivos digitales comerciales. Los contadores 74LS168/74LS169 son un buen ejemplo de contadores sncronos de varias secuencias con seales de control. El contador 74168 realiza cuentas en cdigo NBCD (de 0 a 9 y vuelta a 0) ascendente/descendente, mientras que el 74169 realiza la cuenta en binario de 4 bits.

    Tipos de contadores 1. Atendiendo al cdigo que cuentan

    Binario (natural) BCD En anillo En Gray Johnson

    2. Atendiendo al sentido de conteo Contador hacia arriba (ascendentes) Contador hacia abajo (descendentes) Contador en ambos sentidos, no simultneos

    3. Atendiendo a la posibilidad de preseleccin Contador con carga en paralelo Contador con puesta a cero inicial solamente

    4. Atendiendo a la forma de propagarse la seal de reloj internamente Contador asncrono (contadores con propagacin) Contador sncrono con acarreo serie Contador sncrono con acarreo paralelo Para caracterizar un contador se indican todas las posibilidades. Por Ejemplo, un contador BCD Aiken ascendente asncrono.

    Un contador es asncrono cuando la salida del biestable es la entrada de reloj del biestable siguiente. Estos contadores llevan una secuencia (ascendente o descendente) que se repite indefinidamente. Un contador es sncrono cuando la seal de reloj se conecta a la Entrada de reloj de cada uno de los biestables. Se utiliza cuando los estados por los que pasa (secuencia) no son correlativos. Por ejemplo: 1, 8, 7,4, etc.

  • ~ 18 ~

    Electrnica Digital

    Modelos de circuitos secuenciales sncronos

    Los sistemas digitales pueden operar en forma asncrona o sncrona. En los sistemas asncronos, las salidas de los circuitos lgicos pueden cambiar de estado en cualquier momento en que una o ms de las entradas cambie. En los sistemas sncronos los tiempos exactos en que alguna salida puede cambiar de estado se determinan por medio de una seal denominada reloj o clock. Esta seal de reloj consiste en una serie de pulsos rectangulares o cuadrados como se muestra en la figura.

    Denominaremos periodo al tiempo entre transiciones sucesivas en la misma direccin, esto es entre dos flancos de subida o entre dos flancos de bajada. La transicin de estado en los circuitos secuenciales sncronos se efectan en el momento en el que el reloj hace una transicin entre 0 y 1 (flanco de subida) o entre 1 y 0 (flanco de bajada). Entre pulsos sucesivos de reloj no se efectan cambios.

    El inverso del periodo es lo que denominamos la frecuencia del reloj. El ancho del pulso de reloj es el tiempo durante el cual la seal de reloj est en 1.

    En el anlisis anterior sobre el latch SC podemos ver que es un circuito asncrono, ya que el estado cambia en cualquier momento que cambiemos las entradas y no sincronizado con un pulso de reloj.

    DISEO DE CIRCUITOS SINCRONICOS SECUENCIALES:

    El diseo de circuitos secuenciales parte de un problema lgico y el objetivo final es llegar a un circuito digital sncrono. Para ello hay que realizar los siguientes pasos de los que slo la implementacin final se desarrollar en esta introduccin. Describir el problema mediante un diagrama de estados o un mtodo similar.

    Minimizar el diagrama de estados. Las especificaciones del problema o la resolucin del mismo pueden contener redundancias o situaciones no especificadas; se pueden aplicar mtodos algortmicos que minimicen los nmeros de estados de una tabla. En esta introduccin se supone que la descripcin es mnima. "Asignacin secundaria ptima: asignar codificaciones binarias a los estados de la tabla que minimicen o, al menos reduzcan en lo posible la lgica combinacional del circuito (decodificadores del siguiente estado y de salida). En esta introduccin se supone una asignacin secundaria aleatoria. Representacin de la tabla de estados del problema y su

  • ~ 19 ~

    Electrnica Digital

    conversin a nivel lgico (0s y 1s) por la codificacin binaria de cada estado de la tabla. Generacin de los decodificadores de salida y de siguiente estado del circuito utilizando un tipo de flip-Flops previamente determinado. De la tabla de estados codificados en binario se pueden conocer las transiciones entre yi e yi+ para cada variable de estado y para cada combinacin de las entradas.

    A partir de la tabla de excitacin o transicin de cada Flip-flop se pueden conocer los valores lgicos que deben aplicarse a las entradas de los flip-Flops para cada valor de las variables de estado y de las entradas. Por ltimo se pueden calcular las funciones lgicas minimizadas para cada entrada de cada Flip-flop (decodificador del siguiente estado), y tambin para las salidas.

    EJEMPLO: Construir el circuito con puertas lgicas y flip-Flops.

  • ~ 20 ~

    Electrnica Digital

    Anlisis y sntesis de circuitos secuenciales sncronos

    El anlisis de un circuito secuencial sncrono consiste en el paso de una descripcin estructural de un circuito mediante flip-flops y puertas lgicas a una descripcin funcional de una FSM, principalmente una tabla de estados, y de ah un diagrama de estados o una descripcin VHDL. Hay que realizar estos pasos:

    Determinar el nmero de estados. Dado un circuito con N flip-flops se dispone de N variables de estado y1, yN, y el nmero de estados posible de la FSM es 2N, que corresponde a cada codificacin binaria distinta de las variables de estado. Existen otras codificaciones pero esta es la ms habitual (one-hot, una y slo una variable de estado a 1 en la codificacin => N variables de estado permiten N estados).

    Denominar los estados como Si, de S0 a S (2N -1), y asociar a cada estado Si la codificacin i en binario en los flip-flops.

    Dada la descripcin estructural del circuito encontrar las funciones lgicas que definen el decodificador de salida y el decodificador del siguiente estado en funcin de las variables de estado y de las entradas.

    Realizar una tabla de en la que en las filas se sita cada estado descrito mediante su codificacin en binario en las variables de estado, y en cada columna cada posible combinacin de valores lgicos en las entradas del circuito. Cada casilla de la tabla se debe rellenar con el valor de las entradas de cada Flip-flop (en subcolumnas), obtenido a partir de las funciones del decodificador del siguiente estado.

    Determinar si se trata de una mquina de Mealy o de Moore y obtener los valores lgicos de las salidas mediante las funciones del decodificador de salida, y situar esos valores en la tabla como en una tabla de estados segn el tipo de mquina que se trate (en cada fila y en cada columna si es tipo Mealy; en cada fila si es tipo Moore).

    Convertir la tabla anterior en una nueva tabla con la misma relacin de filas-columnas, situando en cada casilla los nuevos valores que se cargan en las variables de estado (al llegar el flanco de reloj), obtenidos para una variable de estado yi en funcin de los valores de las entradas del Flip-flop i, del valor actual de la variable yi y de la tabla de operacin del Flip-flop i. Realmente se est haciendo yi+ = F (Inp, yi).

  • ~ 21 ~

    Electrnica Digital

    Mantener las salidas como en la tabla anterior.

    Generar la tabla de estados sustituyendo las combinaciones de valores en las variables de estado que aparecen en la tabla anterior por el nombre correspondiente del estado. Esta ya es una representacin en alto nivel. Se puede desarrollar la tabla de estados en un diagrama de estados o en una descripcin VHDL.

  • ~ 22 ~

    Electrnica Digital

    Conclusin:

    Actualmente estamos rodeados de dispositivos que disponen de algn tipo de contador digital, incluso la mayora de los electrodomsticos vienen equipados con uno. El contador digital, bsicamente consta de una entrada de impulsos que se encarga de conformar (escuadrar) las seales, de manera que el conteo de los pulsos no sea alterado por seales no deseadas, las cuales pueden falsear el resultado final. Estos impulsos son acumulados en un contador propiamente dicho cuyo resultado, se presenta mediante un visor que puede estar constituido por una serie de sencillos dgitos de siete segmentos o en su caso mediante una sofisticada pantalla de plasma

    Como ya hemos comentado, los sistemas secuenciales forman un conjunto de circuitos muy importantes en la vida cotidiana. En cualquier elemento que sea necesario almacenar algn parmetro, es necesario un sistema secuencial. As, cualquier elemento de programacin (o lo que es lo mismo, con ms de una funcin) necesita un sistema secuencial.

    A modo de ejemplo, expondremos el caso de una mquina de refrescos. En esta mquina iremos introduciendo monedas hasta alcanzar o sobrepasar el valor del refresco que deseamos sacar. Por lo tanto, en este sistema se debe almacenar una serie de datos, como pueden ser:

    Los precios de los productos ofertados.

    Estado de existencia de los mismos.

    Cantidad de dinero que hayamos introducido en la mquina hasta el momento. As, vemos que es necesario almacenar temporalmente una serie de datos, por lo que nos encontramos ante un sistema secuencial

  • ~ 23 ~

    Electrnica Digital

    Bibliografa:

    https://sites.google.com/site/electronicadigitaluvfime/4-logica-secuencial

    http://www.kumbaya.name/ci1210/leccion%209%20circuitos%20secuenciales/Circuitos%20Secue

    nciales%20s%C3%ADncronos.htm

    http://www.ecured.cu/index.php/Se%C3%B1ales_anal%C3%B3gicas_y_digitales