项目 5 简易函数信号发生器制作 - elecfans.com”µ子技术基础(第3版... ·...

19
项目 5 简易函数信号发生器制作 学习目标 通过本项目的制作训练,使学生掌握集成运算放大器的基本知识和基本应用电路,掌握 正弦波产生电路、三角波产生电路、方波产生电路和矩形波产生电路的分析、制作和测试, 能够按照工艺要求进行简单电子产品电路装配焊接,并利用仪器仪表进行元器件检测和电路 调试。 采用集成运算放大器制作简易函数信号产生电路,撰写项目制作报告。 简易函数信号发生器电原理图如图 5.1 所示。 8 A1 20k R2 10k R1 6.8k R3 1k R4 VDz1 Uo1 8 A2 10K Rp1 200 R5 8 A3 1k R7 0.01uF C1 Uo3 1K R6 1K Rp2 8 A4 1K R9 1K R11 10K R8 10K R12 510 R10 10K Rp3 +15V 15V Uo4 VDz2 Uo2 5.1 简易函数信号发生器电原理图 UnRegistered

Upload: others

Post on 18-May-2020

23 views

Category:

Documents


0 download

TRANSCRIPT

项目 5 简易函数信号发生器制作

学习目标

通过本项目的制作训练,使学生掌握集成运算放大器的基本知识和基本应用电路,掌握

正弦波产生电路、三角波产生电路、方波产生电路和矩形波产生电路的分析、制作和测试,

能够按照工艺要求进行简单电子产品电路装配焊接,并利用仪器仪表进行元器件检测和电路

调试。

采用集成运算放大器制作简易函数信号产生电路,撰写项目制作报告。

简易函数信号发生器电原理图如图 5.1 所示。

8

+A1

20k

R2

10kR1

6.8k

R3

1k

R4

VDz1

Uo1

8

+A2

10KRp1

200R5 +

- 8

+A3

1kR7

0.01uFC1

Uo3

1K

R6

1K

Rp2+

8

+A41K

R9

1K

R11

10K

R8

10K

R12

510R10

10K

Rp3+15V -15V

Uo4

VDz2

Uo2

图 5.1 简易函数信号发生器电原理图

UnReg

ister

ed

技能训练 16 正弦波产生电路制作与测试

完成本任务所需仪器仪表及材料如表 5-1 所示。

表 5-1

序号 名称 型号 数量 备注

1 直流稳压电源 DF1731SD2A 1 台

2 数字万用表/模拟万用表 DT9205/MF47 1 只

3 20MHz 双踪示波器 YB4320A 1 台

4 函数信号发生器 DF1641A 1 台

5 电工工具箱 含电烙铁、斜口钳等 1 套

6 万能电路板 10cm×5cm 1 块

7 电阻

电阻

电容

10kΩ

1 kΩ

0.01uF

2 只

2 只

2 只

8 电位器 10 kΩ 1 只

9 二极管 1N4003 2 只

10 运算放大器 LF353 1 只

11 集成电路插座 集成电路 8脚插座 1 只

任务书 5-1

任务名称 正弦波产生电路制作与测试

图 +

8

0.01uFC1

10KR1

1K

R3

1KR4

10K

R2

0.01uF

C2

10K

Rp

AUo

VD1

VD2

图 5.2 RC 桥式正弦波产生电路

骤 (1) 按图 5.2 所示电路在万能电路板上进行焊接连线,检查无误后,插入集成运算放大

器芯片到插座上,加入电源 VCC=+15V,VEE=—15V。

(2) 用示波器观察电路输出端 Uo电压波形。若没有波形,调节 RP(阻值变大),直至

出现振荡波形。

(3) 若正弦波出现严重失真,先调整电位器 RP(阻值变小);若波形出现不对称,应

该检查二极管特性是否相同。

UnReg

ister

ed

(4) 细调 RP 电位器,使振荡波形失真最小,用示波器(或交流毫伏表)测量电路的正

弦波频率 f=_______、周期 T=________、幅度 UoP=__________。

(5) 振荡频率调整。通过改变电阻 R1、R2 或电容 C1、C2 的参数,使频率符合要求。

(6) 该电路_______(能/不能)实现正弦波产生,通过调节 RP 可以改变_____(幅度/频率),

调节电阻 R1、R2 或电容 C1、C2会改变___________(幅度/频率)。

论 RC 桥式正弦波产生电路适用于低频振荡,一般频率在 1MHZ以内信号,电路起振较容

易。

高 利用运放 LF353 设计一个频率为 2KHz、幅度为 3V 的正弦波信号产生电路。

知识点 正弦波振荡电路

正弦波振荡电路是一种基本模拟电子电路,是常用的一种信号源,在测量、自动控制通

信和热处理等许多技术领域中都有着广泛的应用。

1.产生正弦振荡的条件

正弦振荡电路由基本放大电路、正反馈支路、选频网络和稳幅电路四部分组成。正反馈

放大电路框图如图 5.3 所示,若在放大器的输入端有一个瞬时干扰信号 ui,ui经放大电路和

反馈电路后,在输入端得到一个反馈信号 fu 。若 uf比 ui大,且同相位,这样 ui就会在放大、

反馈和再放大、再反馈中逐渐增大,最后由于电路的限幅而在电路的输出端得到一个稳定的

输出信号,这种情况称电路产生了自激振荡。

A

F

u

u

ui

f

o

图 5.3 正反馈放大电路

因此,正弦振荡电路就是满足一定条件(相位和幅值平衡条件)的没有输入信号却有稳定

输出信号的正反馈选频放大电路。

2.正弦振荡电路介绍

正弦振荡电路包括:RC 振荡电路、LC 振荡电路和石英晶体振荡电路。

(1)RC 正弦振荡电路

由电阻、电容元件组成选频网络的正弦波振荡电路称为 RC 正弦振荡电路。常见的 RC

振荡电路为 RC 桥式振荡电路,如图 5.4 所示。

UnReg

ister

ed

u

Rf

o

R1

ui

R

C

R CZ2

Z1

uf

+

-

+

+

AF

正反馈支路

基本放大电路

图 5.4 RC 桥式振荡电路

RC 桥式振荡电路由基本放大器和带选频网络的正反馈支路构成,基本放大电路为集成

运放组成的电压串联负反馈放大器。Z1、Z2组成兼做选频的正反馈支路。图中 Z1、Z2、R1、

Rf正好形成一个四臂电桥,其对角线两顶点接到运放的两个输入端,因而得名为桥式正弦

振荡电路。

RC 振荡器只能产生较低频率的正弦波,称为低频振荡器。电路起振的条件为

fu

1

RA = 1 + > 3

R

电路的振荡频率为

1f =

2πRC

(2)LC 正弦振荡器

采用 LC 选频网络构成的正弦振荡电路称为 LC 正弦振荡电路,与 RC 正弦振荡电路相

比,它可以产生 1MHz 以上的高频正弦信号。电路的基本形式仍然是一个没有输入信号的

正反馈选频放大器。常见电路形式有:变压器反馈式、电感三点式、电容三点式和改进型电

容三点式。上述四种电路分别如图 5.5、图 5.6、图 5.7 和图 5.8 所示。四种 LC 振荡器的共

同特点是选频网络均是 LC 并联谐振回路,基本放大电路均是分压式偏置的共射电路,LC

振荡器的频率稳定度在 10-4~10-5之间,振荡频率均为 LC 并联谐振频率。

UnReg

ister

ed

C

R

RR

R

Cu

CL

L

L

V

u

+

f

2

B1

B2

E

be

E

2

f

L

CC

+

+

-

图 5.5 变压器反馈式正弦振荡电路

C

R

RR

C

C

V

u

1

B1

B2

E

be

E

CC

ui

RC

C2

图 5.6 电感三点式正弦振荡电路

C

R

RR

Cu

L

V

u

f

B

B1

B2

E

be

E

CC

ui

V

1

2

3

C1

C2

RC

+ +

+

-

图 5.7 电容三点式正弦振荡电路

UnReg

ister

ed

C

R

RR

C L

V

u

+L

B1

B2

E

be

E

CC

V

C1

C2

RC

C

图 5.8 改进型电容三点式正弦振荡电路

(3)石英晶体振荡器

一些要求振荡频率十分稳定的标准信号发生器,如脉冲计数器和计算机中的时钟信号发

生器等,一般的 LC 振荡器很难满足要求,往往采用石英晶体振荡器。石英晶体振荡器就是

用石英晶体取代 LC 振荡器中的 L、C 元件组成的正弦波振荡器,它的频率稳定度很高。

C C

L

R

引线

晶片

敷银层

o

图 5.9 石英谐振器的结构、等效电路、符号

①石英晶体的特性

石英晶体的主要化学成份是 SiO2,化学和物理性能十分稳定,在晶片的两表面涂敷银层

作为电极并引出接线,当对晶片施加交流电压时,晶片会产生机械振动。当对晶片施加周期

性的机械压力使它振动时,则在晶片两极会出现周期性交流电,这种现象称为石英晶体的压

电效应。当加在石英晶片两极之间的交流电压频率等于晶片的固有频率(与晶片外形尺寸及

切割方式有关)时,其振动幅度最大,产生共振,称之为石英晶体的压电谐振。这与 LC 回

路的谐振现象非常相似,因此可以把石英晶片等效为一个 LC 谐振电路。石英谐振器的结构、

等效电路、符号如图 5.9 所示,等效电路有两个谐振频率,一个是串联谐振频率 fs,另一个

是并联谐振频率 fp,分别为:

fs =1/ )2( LCp

fp=1/( 2 o

o

CCLC C

p+

) =fS 1o

CC

+

其中 Co>>C,所以 fs 和 fp很接近。

0

X 感性

容性

f fps

图 5.10 石英晶体振荡器的频率特性曲线

UnReg

ister

ed

图 5.10 所示为石英晶体电抗的频率特性曲线,由图可知,当频率在 fs和 fp之间时,电

抗呈感性,由于 fs 和 fp 十分接近,因此石英晶体振荡器的频率稳定性非常好,可达 10-7~

10-11Hz。

②石英晶体振荡器电路形式

石英晶体振荡器分为并联型和串联型两类,图 5.11(a)所示为串联型晶体振荡器,晶体

接在 VT1、VT2 之间,组成正反馈电路。当信号频率等于石英晶体振荡器的串联谐振频率 fs

时,晶体呈纯阻性,阻抗最小,这时正反馈作用最强,电路满足自激振荡条件。对其他频率

的信号,晶体阻抗增大,且不为纯阻性,不满足自激振荡条件,很快被抑制衰减掉。图 5.11(b)

所示为并联型石英晶体振荡器,当信号频率在 fs 和 fp 之间时,石英晶体谐振器呈感性,此

时晶体等效为一个电感元件,它与 C1、C2 构成三点式振荡电路,振荡频率接近 fp,而在 fs

和 fp 之外的频率均不能使晶体呈电感性而被抑制衰减掉。

RR

RR

+V

E1

B1

B2

CC

C1

R E2

RP

C

uo

V1

V2

(a) 串联型石英晶体振荡器

C

C

CRR

RR

+V

E E1

2

B1

B2

CC

V

C

(b)并联型石英晶体振荡器

图 5.11 晶体振荡电路

技能训练 17 方波产生电路制作与测试

完成本任务所需仪器仪表及材料如表 5-2 所示。

表 5-2

序号 名称 型号 数量 备注

1 直流稳压电源 DF1731SD2A 1 台

2 数字万用表/模拟万用表 DT9205/MF47 1 只

3 20MHz 双踪示波器 YB4320A 1 台

4 函数信号发生器 DF1641A 1 台

5 电工工具箱 含电烙铁、斜口钳等 1 套

6 万能电路板 10cm×5cm 1 块

UnReg

ister

ed

7 电阻 1kΩ

43kΩ

51kΩ

10kΩ

各 1 只

8 电容 0.1uF 1 只

9 双向稳压管二极管 DW231 1 只

10 运算放大器 A LF353 1 片

11 集成电路插座 集成电路 8脚插座 1 只

任务书 5-2

任务名称 方波产生电路制作与测试

- 8+

0.1uF

C1

43K

R1

10K

Rf

AUo

VDz

R2

1K

R0

Uc1

图 5.12 方波产生电路

骤 (1) 按图 5.12 所示电路在万能电路板上进行焊接连线,检查无误后,插入集成运

算放大器芯片到插座上,加入电源 VCC=+15V, VEE=—15V。

(2) 用示波器观察电路输出端 Uo 电压波形。若无波形,仔细检查电路连线和焊

接,排除电路故障,直至出现波形。

(3) 待电路稳定后,用示波器仔细观察波形的前后沿陡度,记录波形。

(4) 用示波器(或高频毫伏表)测出方波的频率 f=_______、周期 T=________、

幅度 Uo=__________。

(5) 该电路_______(能 /不能 )实现方波产生,通过调节________可以改变

________(幅度/频率)。

结 用运算放大器组成的方波产生电路能够产生低频的方波信号,输出方波的前后沿陡度

取决于运算放大器的转换速率。

高 (1) 利用运放 LF353 设计一个频率为 1.2kHz、幅度为 6.5V 的方波信号产生电路。

(2) 利用运放 LF353 设计一个矩形波信号产生电路。

UnReg

ister

ed

知识点 方波产生电路

图 5.13(a)所示电路为由迟滞比较器构成的方波产生电路,它是在迟滞比较器的基础上

增加了一个由 R f、C 组成的积分电路。迟滞比较器的 UTH、UTL分别为:

D1

TH Z1 2

RU = U

R+R

L D- 1T Z

1 2

RU = U

R+R

其工作过程是:当通电源瞬间,电容 C 两端电压为零,输出高电平 uo=UDZ,此时 uo

=UDZ的高电平通过 Rf向 C 充电,uc逐渐上升,当 uc上升到 UTH 并稍超过后,电路发生转

换,uo=-UDZ,当 uo=-UDZ后,UTH要通过 Rf向 uo=-UDZ放电,uc由 UTH逐渐下降,

当 uc下降到 UTL并稍小时,电路再次发生转换,周而复始,形成振荡,输出对称方波,如

图 5.13(b)所示。

C

uo

Rf

R2

R1

R0

VDZ

I充

I放

±U DZ

(a)方波产生电路

t

t0

0

UTH

UDZ

-U DZ

uo

uC

UTL

(b) 波形图

图 5.13 方波发生器

可以证明电路的振荡周期和频率为:

)R2R

ln(1CR2T2

1+´= f

UnReg

ister

ed

)R2R

ln(1CR2

11f

2

1+´==

fT

改变 Rf和 C 可改变振荡频率。

为了获得不对称方波,在图 5.13 的基础上稍加改进即成,如图 5.14(a)所示,图中利用

二极管的单向导电特性使充放电时间常数不同而得到不对称方波,其中充电回路为 VD1→R

→C,充电时间常数τ充=RC(忽略二极管正向电阻),而放电时间常数τ放=R¢C,uo 处于高

电平,向 C 充电的时间为:

)R2R

ln(1RCT2

1+´=充

uo 为低电平,uc 通过 VD2放电的时间为:

)R2R

ln(1CRT2

1+´¢=放

输出波形的周期为:

T=T 充+T 放

占空比为:

1

2

1

2

2RRCln(1 )

T R R 1=

2R RT R+R 1(R R )Cln(1 )RR

+= = ¢¢¢ ++ +

上式表明,改变比值RR

¢可以调节电路的占空比,uc和 uo 的波形如图 5.14(b)所示。

C

uo

R2

R1

R0

VDZ

R′

R VD1

VD2

uc

±U DZ

(a)占空比可调的方波产生电路

UnReg

ister

ed

t

t0

0

UDZ

UDZ

-U DZ

uo

uC

-U DZ

UDZ

UDZ

R1

R1 R2+

-R 1

R1 R2+

(b)图(a)所示电路的 Uc 和 U0的波形 图 5.14 占空比不对称方波产生电路

技能训练 18 三角波产生电路制作与测试

完成本任务所需仪器仪表及材料如表 5-3 所示。

表 5-3

序号 名称 型号 数量 备注

1 直流稳压电源 DF1731SD2A 1 台

2 数字万用表/模拟万用表 DT9205/MF47 1 只

3 20MHz 双踪示波器 YB4320A 1 台

4 函数信号发生器 DF1641A 1 台

5 电工工具箱 含电烙铁、斜口钳等 1 套

6 万能电路板 10cm×5cm 1 块

7 电阻 20kΩ

10kΩ

1kΩ

1 只

4 只

1 只

8 电容 0.022uf 1 只

9 双向稳压管二极管 DW231 1 只

10 运算放大器 A LF353 1 片

11 集成电路插座 集成电路 8脚插座 1 只

任务书 5-3

任务名称 三角波产生电路制作与测试

- 8

+A2

8

+A1

20k

R2

10kR1

10kR3

1k

R4 10k

R

10kR5

0.022uF

C

VDz

UoUo1

UnReg

ister

ed

图 5.15 三角波产生电路

骤 (1) 按图 5.15 所示电路在万能电路板上进行焊接连线,检查无误后,插入集成运

算放大器芯片到插座上,加入电源 VCC=+15V, VEE=—15V。

(2) 用示波器观察电路中 Uo、Uo1 端电压波形。若无波形,仔细检查电路连线和

焊接,排除电路故障,直至出现波形。

(3) 待电路稳定后,用示波器仔细观察 Uo、Uo1 端电压波形。

(4) 用示波器(高频毫伏表)测出三角波的频率 f=_______、周期 T=________、

幅度 Uo=__________。测出方波的频率 f=_______、周期 T=________、幅

度 Uo=__________。

(5) 该电路_______(能 /不能 )实现三角波产生,通过调节________可以改变

________幅度,通过调节________可以改变________频率。

结 三角波产生电路利用电容充放电来实现振荡,对电容恒流充放电是获得三角波的关键。

知识点 三角波产生电路

由运放组成的线性积分电路如图 5.16(a)所示。运放均采用 fH较高的 LF353,其中 A1

构成同相输入的迟滞比较器,A2构成恒流积分电路,A1输出电压 uo1 为方波、幅值为± UDZ,

A2 输出三角波,其电压由比较器 A1 的门限电压 UT+和 UT-决定,uo1和 uo的波形如图 5.16(b)

所示。

- 8

+A2

8

+A1

20k

R2

10kR1

10kR3

1k

R4 10k

R

10kR5

0.022uF

C

VDz

UoUo1

(a)电路原理图

UnReg

ister

ed

t

t0

0

UDZ

UTh

UTL

uo3

u01

-U DZ

t1 t2

(是 uo不是 uo3) (b) 波形图

图 5.16 三角波发生电路

由同相输入迟滞比较器知

1TH DZ

2

RU = U

R 1

TL DZ2

RU = - U

R

工作过程为:当刚接上电源时,若 uc=0,uo1=+UDZ,uo1 通过 R 向 C 充电,uo 逐渐线

性下降,当 uo下降到 1TL DZ

2

RU = - U

R时,电路发生转换,uo1=-UDZ,此时 C 通过 R 反向

充电,uo线性上升,当 uo上升到 1TH DZ

2

RU = U

R时,电路再次发生转换,周而复始形成振荡。

其中,uo1=UDZ,uo=1

TH DZ2

RU = U

R。

振荡周期的计算:根据 c

q(t)u (t)

C= 的公式,得 =c

1u (t) it

C,其中在

2T时间内(即

t2-t1 时间内)ucp=UTH-UTL=1

DZ2

R2 UR

, =u

iRo1 ,t=t2-t1=

2T,有

2

´ ´1 o1DZ

2R u1 TU =

R C R 2,

所以2 2

U´ ´ ´1 DZ 1

o1

2R 4RT = 2R C = R C

R u R, 2

1

=R1

f =T 4R R C

技能训练 19 三角波—矩形波转换电路测试与仿真

完成本任务所需仪器仪表及材料如表 5-4 所示。

表 5-4

序号 名称 型号 数量 备注

1 电脑 安装Multisim10.0仿真软件 1 台

2 直流稳压电源 DF1731SD2A 1 台

3 数字万用表/模拟万用表 DT9205/MF47 1 只

4 20MHz 双踪示波器 YB4320A 1 台

UnReg

ister

ed

5 函数信号发生器 DF1641A 1 台

6 电工工具箱 含电烙铁、斜口钳等 1 套

7 万能电路板 10cm×5cm 1 块

8 电阻 10kΩ

1kΩ

510Ω

2 只

2 只

1 只

9 电调电阻 10kΩ 1 只

10 电容 0.022uf 1 只

11 双向稳压二极管 DW231 1 只

12 稳压管二极管 1N4755 2 只

13 运算放大器 A LF353 1 片

14 集成电路插座 集成电路 8脚插座 1 只

任务书 5.4

任务名称 三角波—矩形波转换电路测试与仿真

8+A

1K

R1

1K

R5

10K

R2

10K

R4

510R3

10K

Rp15V -15V

Ui

Uo

VDz

(a)电路原理图

AA

LF353H

3

2

4

8

1

R1

1kΩ

R2

10kΩ

R3510Ω

R4

1kΩ

R5

10kΩ

RP

10kΩKey=A 65%

D3ZPD6.2

D1ZPD6.2

XFG1VCC

15V

VCC

15V

VEE

-15V

XSC1

A B

Ext T rig+

+

_

_ + _

(b)仿真电路图

图 5.17 三角波—矩形波转换电路

骤 (1) 按图 5.17(a)所示电路在万能电路板上进行焊接连线,检查无误后,插入集

成运算放大器芯片到插座上,加入电源 VCC=+15V,VEE=—15V。

UnReg

ister

ed

(2) 在输入端 Ui 加入三角波信号(幅度 5V、频率 1KHz),调节电位器 RP 在中

间位置,用示波器观察输出端 Uo 电压波形。若无波形,仔细检查电路连线和

焊接,调节电位器 RP,排除电路故障,直至出现波形。

(3) 待电路稳定后,用示波器仔细观察 Uo、Ui 端电压波形。

(4) 用示波器(高频毫伏表)测出三角波的频率 f=_______、周期 T=________、

幅度 Uo=__________。测出矩形波的频率 f=_______、周期 T=________、

幅度 Uo=__________。

(5) 调节电位器 RP,用示波器测量矩形波周期内的高电平时间 t2=______和低电

平时间 t1=________,计算占空比 D= _________%。

(6) 该电路_______(能/不能)实现三角波向矩形波转换,通过调节电位器 RP 可以

改变输出端电压波形的_________(频率/幅度/占空比)。

(7) 电路的仿真

①运行 Multisim10 软件,在窗口按图 5.17(b)所示绘制电路。设置信号发生器为幅值

5V、1KHz 三角波,电位器 RP 置中间。

②运行仿真电路,观察示波器 XSC1 的电压波形。

③改变电位器 RP 的阻值,运行仿真电路,观察示波器 XSC1 的电压波形。

④通过电路的仿真,知道调节电位器 RP 可以改变输出端电压波形的________(频率/

幅度/占空比)。

论 三角波--矩形波转换电路中,通过调节电位器 RP 来改变比较器的参考电平,达到改变

矩形波的占空比。

知识点 三角波—矩形波转换电路

图 5.18(a)所示是用单门限比较器把三角波变成占空比可调的方波的变换电路。调节电

位器 RP 可以改变单门限电压比较器被比较的电压 uREF,从而可改变输出方波 uo的占空比,

图 5.18(b)是 UREF等于 2V 和-2V 时输入和输出电压波形图。

8

+A1K

R1

1K

R5

10K

R2

10K

R4

510R3

10K

Rp15V -15V

Ui

Uo

VDz

UnReg

ister

ed

(a)三角波变成矩形波电路

t

t0

0

4V

UDZ

-U DZ

u04

u03

-4V

t0

UDZ

-U DZ

u04́

t1 t2 t3-2V

2V

(纵轴为 ui、uo、uo’) (b)输入输出波形

图 5.18 三角波变成方波电路

知识拓展 三角波—正弦波转换电路

图 5.19(a)为三角波—正弦波转换电路,它的工作过程是:ui为图 5.19(b)中折线 Oab 所

示的三角波(只画出了正半周的情况),而下面的曲线为正弦波,可用折线 Ocdefb(b 点与 O

点对称,f 点与 c 点对称)来近似,折线的分段越多,就越接近正弦波。由波形图知三角波输

入 ui从 0 开始上升,当电压低于 E1 和 E2,则 VD1、VD2截止,这时 u o上升的斜率由 R 和

RL决定且最大(与 VD1或 VD1、VD2 导通时相比),得图中折线 Oc 段。当 ui继续上升,使 u

o 超过 c 点,如果 E1<u i<E2,VD1 导通,VD2仍然截止,将电阻 R 1 接入电路,此时 u o 上

升的斜率取决于 R 和 R1∥RL,得 cd 段折线,其上升斜率降低了,ui 继续上升,ui>E2 后

VD1、VD2 都导通了,R2也被接入,uo 为斜率更小的 de 段(此时折线斜率由 R 与 R1∥R2∥

RL决定)。当 ui下降时与上升时类同,可以画出正弦波中 ef 和 fb 段,负半周时 ui为负,则

二极管和直流电源的极性都应改变,原理同正半周,可得由折线构成的正弦波的负半周,这

里不在重复。

R

R1 R2

RLuoVD1 VD2

E1E2

ui

(a)电路原理图

0

a

b

c

d e

f

uoui

t

ui (三角波)

uo

(正弦波)

UnReg

ister

ed

(b)图(a)的输入输出波形 图 5.19 三角波—正弦波转换器

实用三角波—正弦波转换电路如图 5.20 所示,输入为三角波,通过同相比例放大器和

电阻 R12 输送到三角波——正弦波转换器,经变换后的正弦波又通过 R32和 L1 相并联的低通

滤波电路送给电压跟随器后输出 uo5。工作过程是:当输入三角波电压从 0 开始上升时,u i

>0,随 ui的上升,二极管 VD6、VD5、VD2、VD1依次导通,将相应电阻 R26、R25、R19、

R27、R21和 R20依次接入,而当 ui下降时 VD1、VD2、VD5、VD6又依次截止,电阻 R20、R21、

R27、R19、R25和 R26 又依次被切断,每接入或切断一个电阻,输出波形的折线斜率就改变一

次,输出正弦波电压 uo的正半周是由 9 段折线组成的。负半周时二极管 VD8、VD7、VD4、

VD3 依次导通,将相应电阻接入又依次切断,9 条折线构成了正弦波输出电压的负半周,经

R32 和 L1 组成的低通滤波电路滤波后送给电压跟随器,这样就可得正弦波输出电压 uo5。

uo3 R3

R12

R20

R22

VD1

VD3

VD2 VD5 VD6 R33

VD8VD7VD4 R23 R03

R27R21

R19 R25 R26

R32

L1uo5

+

-

1KΩ

1KΩ4.7KΩ

20uH820Ω 3KΩ 10KΩ

27Ω 47Ω 51Ω

2CK47B

27Ω 47Ω 51Ω

36Ω

R34 36Ω

RfR1

UE

UE

图 5.20 实用三角波—正弦波转换电路

项目实施 简易函数信号发生器制作

如图 5.1 所示,电路由集成运算放大器 LF353 为核心器件组成,运放 A1 组成迟滞比较

器的方波产生电路,uo1 端输出方波电压信号;运放 A2 组成电压跟随器电路,uo2 端输出

大小可调的方波电压信号;运放 A3 组成线性积分电路,uo3 端输出三角波电压信号;运放

A4 组成参考电压可变的比较器电路,uo4 端输出占空比可调的矩形波电压信号。

完成本项目所需仪器仪表及材料如表 5-5 所示。

表 5-5

序号 名称 型号 数量 备注

1 直流稳压电源 DF1731SD2A 1 台

2 数字万用表/模拟万用表 DT9205/MF47 1 只

3 电工工具箱 含电烙铁、斜口钳等 1 套

4 万能电路板 10cm×5cm 1 块

5 集成运算放大器 LF353 2 只

6 集成电路插座 集成电路 8脚插座 2 只

7 双向稳压二极管 DW231 (± 6.2V) 2 只

UnReg

ister

ed

8 电阻 10kΩ

20 kΩ

6.8 kΩ

1 kΩ

200 Ω

510 Ω

3 只

1 只

1 只

5 只

1 只

1 只

9 可调电阻 10 kΩ

1 kΩ

2 只

1 只

10 电容 0.01uF

0.22uF

1 只

1 只

习题 5

5.1 正弦波振荡电路由哪些部分组成?为什么必须有选频网络?

5.2 试用相位平衡条件判断图 5.21 所示各电路:

(1)哪些可能产生振荡?哪些不能?

(2)能振荡的电路,求出其振荡频率。

VCC

RB2

RB1C1 RE

C2

L C

(a)

VCC

RB2

RB1CB RE

CEC

L2L1

(b)

VCC

RB2

RB1CB RE

CE

LC

(c)

UnReg

ister

ed

R2

R1

Rf

C1

C2

L

uO

(d)

图 5.21

5.3 石英晶体振荡器有哪些主要优点?

5.4 方波产生电路如图 5.22 所示,图中二极管 VD1、VD2 相同,电位器 RP 用来调节输出方波的占空比,

试分析它的工作原理并定性画出 R'=R"、R'>R"、 R'<R"时振荡波形 uo 及 uc。

Cuo

R1

R2

R3

VDZ

VD1

VD2

RP

R′

R″

uC

+

-

图 5.22

UnReg

ister

ed