微電子學(上)...張文清博士目前擔任美國柏克萊加州大學ic...

431

Upload: others

Post on 29-Feb-2020

19 views

Category:

Documents


0 download

TRANSCRIPT

微 電 子 學 (上) Microelectronics: Part I

張文清

Vincent Chang

美國柏克萊加州大學繼續教育學院

University of California, Berkeley Extension

Berkeley, California

中國上海交通大學密西根學院

University of Michigan--Shanghai Jiao Tong University Joint Institute

Shanghai, China

鼎茂圖書出版有限公司 Tingmao Presss

謹將本書獻給我的最愛

秋鶯(Jennifer)、柏遠(Brian)、智森(Jason)

母親 陳淑貞

大哥 張旭

大姊 張珍

弟弟 張文豪(Calvin)

以及已過世的父親 張軍

張文清博士目前擔任美國柏克萊加州大學 IC 設計證照首席主講以及中國

上海交通大學密西根學院企業關係總監,同時也是美國專業網路線上教育公司

Knowledge Master Inc.的創辦人。他在台灣任職電機工程副教授十年,在微

電子、半導體、類比、以及混合信號 IC 設計領域有 20 年以上的豐富教學經

驗。在台灣、美國、中國、包括加州大學柏克萊分校、加州大學聖地牙哥分校、

IEEE 教育學程、以及上海交通大學培育超過三萬名工程師和學生。

Knowledge Master 獨創的網路中英雙語教學模式榮獲柏克萊加州大學採

用。2007 年至今,他協助柏克萊加州大學發展先進網路教學及雙語 IC 設計

證照, 並獲得柏克萊加大工學院教授和亞太區及美國主要半導體產業領袖的

支持。台灣聯電名譽副董事長宣明智表示,這項"獨步全球的柏克萊半導體 IC

設計學程,為專業網路教育樹立新的高標準"。台積電副董事長曾繁城博士則

認為 "柏克萊 IC 設計學程橫跨美國、台灣、和中國,提供在職人士創新的國

英雙語發音教學"。目前攻讀證照學程的專業人士及學生來自全美各州、台灣、

中國、印度、英國、德國、義大利、以色列及世界各地。

2010 年至今,他致力於協助中國上海交通大學密西根學院發展企業合

作,包括主辦美國柯惠醫療 (Covidien) 的企業創新和領導力培訓課程

(Innovation Insight)。同時主持多項創新計劃,合作跨國企業包括英特爾

(Intel)、西門子(Siemens)、國家儀器(National Instruments)、飛利浦(Philips)、

都福(Dover)。

張博士出版 14 本微電子領域教科書,發表 40 篇國際期刊和會議論文。

近十年來大中華區(Greater China)在微電子工業方面蓬勃發展,其中包括

台灣以台北內湖、新竹、台中、台南和高雄五大科學園區串聯而成的科技島已

具相當規模,中國在上海、西安、無錫、北京、成都、杭州及深圳建立七個國

家級 IC 設計產業化基地。以上海為例,以浦東張江高科技園區為核心、紫竹

科學園區、金橋出口加工區和外高橋保稅區為延伸的微電子產業策略已將上海

打造成中國 IC 設計的第一重鎮。可以肯定的是,二十一世紀的大中華區將更

需要大量微電子與 IC 設計方面的人才,同時台灣與中國未來在微電子工業的

國際舞台上將持續扮演重要的角色。

為因應高科技時代的來臨,欲成為專業的微電子及 IC 設計工程師或相關

從業人員,就必須具備微電子學方面的基本知識與分析能力。本書正是專門針

對電機、電子、機械等工程科系或物理、化學等自然學科的大學部學生所撰寫

的微電子學教材。甚至對於大中華區的電子、IC 設計工程師或半導體業界專

業人士而言,如果希望在半導體元件與技術、數位與類比積體電路等領域進修

並取得國際頂尖一流大學—柏克萊加大—IC 設計證照(Certificate),使用本工

具書將大幅提升學習成效。

本書主要是根據我在台灣、美國以及中國數所大學教授半導體、微電子學

以及數位與類比 IC 設計時所用的教材,經過近二十五年不斷的修正撰寫而

成。在這段時間,微電子產業的研究發展也有極大的改變,於是我在書中配合

電子科技的進步,加入了一些最新的電路與技術,以符合時勢所需。

本書的架構主要由半導體元件、類比電路及數位電路三大部分完成,分成

上、下冊,其中上冊內容如下:第 1 章介紹電子電路的基本概念,其中分析

電路所必須具備的基本電路常識及分析技巧都將在本章中作說明。第 2 章介

紹運算放大器,針對運算放大器的基本特性、理想和非理想運算放大器之分析

方法作說明。第 3 章介紹半導體二極體,說明半導體材料之物理特性,並延

伸至 pn 接面、齊納和蕭基二極體的基本特性及其應用。第 4 章介紹雙載子接

面電晶體,對 BJT 工作原理、電流電壓特性曲線及主動區和飽和區的行為等

重要單元作一詳細介紹。第 5 章介紹場效電晶體,將針對 MOSFET 工作原理、

交流小訊號模型、與共源、共汲、共閘等放大器作介紹。第 6 章介紹積體電

路放大器,從 BJT 和 MOS 電流源、差動放大器的差模與共模觀念開始,再

擴展至 CMOS 與 BiCMOS 放大器。第 7 章介紹頻率響應,透過本章,讀者可

知當操作頻率延伸至低頭或高頻時放大器的電路表現。

下冊內容如下:第 8 章介紹回授與穩定度,內容分為三部份--四種低頻回

授組態的分析,以波德圖分析回授系統的穩定度,以及欲使回授系統在指定增

益條件下穩定的四種頻率補償技術。第 9 和第 10 章分別為輸出級和類比積體

電路,包括三種基本輸出級的特性分析,741 運算放大器內部電路分析,CMOS

和串疊式 CMOS 運算放大器,D/A 和 A/D 轉換器。第 11 章是濾波器,分析

的電路計有七種被動式 LRC 濾波器,無電感的多級放大器二階濾波技術,單

一放大器二階濾波器以及切換電容技術。第 12 章介紹波形產生器,包括正弦

振盪器,雙穩態複振器,以及分別利用 opamp,555 計時器和 CMOS 合成的

多種無穩態與單穩態複振器電路。第 13 章是金氧半數位電路,內容論及各式

NMOS 反相器,假 NMOS 和 CMOS 反相器,NMOS 和 CMOS 邏輯電路,

NMOS 和 CMOS 傳輸閘及動態 CMOS 邏輯。第 14 章為雙載子數位電路,包

括早期邏輯電路之回顧及其演進,TTL、ECL 和 BiCMOS 電路特性及應用。

在二十五年的教學經驗中,發現同學在修習電子學時,最難掌握的首先就

是各種固態電子元件的基本原理與物理觀念,例如:BJT 與 FET 的電流傳導

機構;同樣式n-p-n結構,為什麼有時稱它npn BJT?有時又稱p-通道JFET?

以及兩個背對背串接的 pn二極體為何不能作為一 npn或 pnp電晶體?元件基

本觀念的建立非常重要,它可以為後續數位電路的直流模型以及類比電路的交

流模型奠定深厚的基礎。其次,同學困惑的是類比電路分析,像是在一複雜電

路中如何掌握頻率響應的關鍵?若遭遇回授時,如何處理真實與理想間的差

距?再者,對於數位電路的分析而言,如何分析靜態操作時各元件的狀態?如

何分析各元件切換時,對電路動態特性所造成的影響。

綜合以上經驗所述,撰寫本書時曾特別考慮學習者的立場,採用一般大中

華區學習者最容易接受的方式書寫,其主要特色為特別重視微電子元件與電子

電路操作特性的基本分析與重要觀念的閘述。此外,就本書的文字敘述部分,

架構分明、層次井然有序,且每一章均有例題的演算和分析與其配合,另外,

在每一章的最後均附有針對本章範圍的練習題,可供讀者在閱讀完本章之後,

檢視自己所具備的分析能力。

本書的完成首先要感謝台灣知識庫(股)董事長邱昌其長期的信任與支

持。感謝鼎茂圖書出版(股)社長陳銘桐、責任編輯李世純及全體同仁的鼎力相

助。感謝佩珊於數年前對於出版本書的建議、統籌策劃與雙色印刷的規格制

定,感謝惠玉一年來辛苦細心的校稿與協助編輯,本書中的中英文索引是由他

負責完成的,感謝哲民於數據整理和題型研究等方面的協助。感謝一版責任編

輯貞妤、打字排版櫻華和電腦製圖蘇桂美三人的密切配合,承擔壓力與付出耐

心。此外,感謝過去我所教過的學生在課堂上的支持,以及對課程內容提出的

寶貴建議。

感謝美國柏克萊加州大學繼續教育學院院長 Diana Wu、總監 Jim

Connor、台灣積體電路製造(股)副董事長曾繁城博士、台灣聯電名譽副董事長

宣明智、中國映瑞光電總裁兼首席執行長張汝京博士、以及柏克萊加州大學電

機工程與電腦科學系 Chenming Calvin Hu (胡正明)教授和 Tsu-Jae King Liu

(劉金智潔)教授,於 2008 年全力支持發展先進網路教學及柏克萊雙語 IC 設計

證照學程,使本書得以列為證照學程參考用書。感謝台灣數所國、私立大學以

及中國上海交通大學密西根學院教師的推薦並使用本書作為教授微電子及數

位與類比積體電路等方面的參考用書。感謝台大電機系王維新教授在我攻讀

碩、博士時的教導。感謝我的良師(mentor)長期無條件的支持與協助 : Ronnie

Ong, Dr. Richard Ru Gin Chang, Frank Tzeng, Dr. Jack Lewis, Clement

Wong, Chris Meyer, Dean Hara, Dennis Lee, Steve Takai, 和 Gerald

Tanaka。最後,感謝父母的養育之恩以及妻子秋鶯對我的信任與鼓勵。

本書在編撰校對的過程雖力求嚴謹,然誤謬之處在所難免,尚祈各位教

師、學者與工程界先進賜予寶貴的建議,使本書能更臻完善,謝謝!

Vincent Chang

2013 年 3 月

第 1 章 電子電路的基本概念

第 2 章 運算放大器電路

第 3 章 半導體二極體

第 4 章 雙載子接面電晶體

第 5 章 場效電晶體

第 6 章 積體電路放大器

第 7 章 頻率響應

第 8 章 回授與穩定度

第 9 章 輸出級

第 10 章 類比積體電路

第 11 章 濾波器

第 12 章 波形產生器

第 13 章 金氧半數位電路

第 14 章 雙載子數位電路

第 1 章 電子電路的基本概念 1.1 前言 15 1.2 基本的電路定律 16 1.3 惠斯登電橋 18 1.4 Δ − Y 轉換 19 1.5 電源轉換與米勒定理 21 1.6 訊號與頻譜 25 1.7 放大器 26 1.8 頻率響應與波德圖 31 1.9 數位電路的基本認識 38 1.10 邏輯函數 40 1.11 反相器 55 練習題 58 參考書目 61

第 2 章 運算放大器電路 2.1 運算放大器的簡介 63 2.2 反相放大器 65 2.3 非反相放大器 70 2.4 反相組態的應用 71 2.5 差動放大器 76 2.6 運算放大器的其它應用 79 2.7 積體電路運算放大器的簡介 87 2.8 頻率響應 90 2.9 大訊號操作 95 2.10 共模排斥比 100 2.11 輸入和輸出電阻 103

2.12 直流偏移電壓和偏壓電流 107 練習題 113 參考書目 117

第 3 章 半導體二極體 3.1 純質半導體 119 3.2 外質半導體 127 3.3 載體遷移過程 129 3.4 載體擴散過程 133 3.5 pn 接面的物理特性 137 3.6 pn 接面的電流電壓特性 147 3.7 pn 接面的寄生電容 151 3.8 二極體電路分析 154 3.9 小訊號模型 159 3.10 蕭基二極體 162 3.11 齊納二極體 163 3.12 整流器電路 168 3.13 峰值整流器 174 練習題 176 參考書目 179

第 4 章 雙載子接面電晶體 4.1 工作原理 183 4.2 電流增益 186 4.3 依柏斯莫爾模型 189 4.4 靜態特性 193

4.5 實際的考慮 200 4.6 電晶體電路的直流分析 204 4.7 分立電路的偏壓設計 209 4.8 小訊號模型 213 4.9 共射放大器 226 4.10 射極追隨器 234 4.11 共基放大器 240 練習題 244 參考書目 248

第 5 章 場效電晶體 5.1 加強式金氧半場效電晶體 251 5.2 MOSFET 的電流電壓特性 257 5.3 空乏式金氧半場效電晶體 266 5.4 接面場效電晶體 268 5.5 分立電路偏壓 277 5.6 小訊號模型 280 5.7 分立電路放大器 287 5.8 傳輸閘 292 練習題 294 參考書目 298

第 6 章 積體電路放大器 6.1 BJT 積體電路偏壓 301 6.2 MOS 積體電路偏壓 309 6.3 BJT 差動放大器 313

6.4 MOS 差動放大器 318 6.5 差動放大器的半電路觀念 321 6.6 主動負載差動放大器 326 6.7 偏移電壓和偏壓電流 331 6.8 NMOS 放大器 337 6.9 CMOS 與 BiCMOS 放大器 341 練習題 345 參考書目 354

第 7 章 頻率響應 7.1 基本概念 357 7.2 電流增益的高頻響應 363 7.3 共源放大器 365 7.4 共射放大器 375 7.5 共基放大器 382 7.6 組合式放大器 384 7.7 差動放大器 389 練習題 399 參考書目 403

附錄 1-練習題解答 404 附錄 2-中文索引 412 附錄 3-英文索引 421

電子電路的基本概念

本書的架構主要由三大部份完成,分別是半導體元

件,類比電路及數位電路。在往後的各章節中,將陸

續出現許多電子電路,讀者欲分析這些電路,必須具

備基本的電路常識與分析技巧,故我們特別開闢此一

章節,彙整基本的電路定律,如歐姆定律,克希荷夫

電壓定律與電源轉換。此外,何謂頻率響應?複數轉

移函數的意義為何?都將在本章介紹。 後,我們將

介紹數位電路的基本觀念,包括反相器的電路特性,

邏輯準位的定義及雜訊邊限等。 1.1 前言

1.2 基本的電路定律

1.3 惠斯登電橋

1.4 Y−Δ 轉換

1.5 電源轉換與米勒定理

1.6 訊號與頻譜

1.7 放大器

1.8 頻率響應與波德圖

1.9 數位電路的基本認識

1.10 邏輯函數

1.11 反相器

1.1 前言 15

1.1 前言

書的主題為現代電子電路(electronic circuits),其所包含的領域亦稱

為微電子學(microelectronics)。主要內容分為三大部份: 半導體元件 包括電子電路的基本概念、運算放大器電路、半導體二極體、雙載子電

晶體、場效電晶體等共五章。內容主要介紹半導體元件的基本原理、特

性,以及相關電子電路的分析方法。 類比電路 由第六章起介紹類比電路,包括差動與多級放大器、頻率響應、回授、

輸出級與功率放大器、類比積體電路、濾波器、訊號產生器與波整形電

路等共七章。內容介紹各種類比電路分析的方法,包括小訊號分析、頻

譜的概念,以及利用複頻率分析電路的技巧等。 數位電路

後二章介紹金氧半、雙載子與高階數位電路,內容涵蓋 NMOS、CMOS、DTL、TTL,蕭基 TTL、ECL、BiCMOS 等數位電路族系的特

性分析及電路設計。 對於現代電子電路技術而言,積體電路(integrated-circuit,簡稱 IC)扮演著極為重要的角色。目前利用這種技術已可在一小塊矽晶片(silicon chip)上製成一包含超過上千萬個組件的電路,而此矽晶片的面積約為

60 2mm 。倘若如此的一個微電子電路是一個完整的數位計算機,則我們

將它稱為微電腦(microcomputer)或微處理機(microprocessor)。 在本書中我們將研究一些可以單獨使用的電子元件,用在分立電路

(discrete circuit)的設計上,以及將這些電子元件用於積體電路的組件

中。同時我們也將研究這些元件之間連接的設計與分析,而這些元件間

的連接所構成的分離或積體電路將執行許多不同的作用。另外我們也將

學習一些現成的 IC 晶片以及它們在電子系統上的應用。 本章主要是以介紹性的方式讓讀者認識電子學在信號和資訊處理上

所扮演的主要角色。雖然信號和資訊的處理也可以利用其他的方法。例

如:力學、流體學和光學。但是在這些方法之中可以對信號和資訊處理

提供 強而有力的支援者,毫無疑問的就是電子學,因此其地位 突出

也 重要。

16 第 1 章 電子電路的基本概念

1.2 基本的電路定律

在開始介紹這門課程之前,首先我們將讀者在研讀這本書之前所需具備

的基本電路常識和電路定律等作一介紹。

1.2.1 歐姆定律

德國物理學家歐姆(George Simon Ohm)在十九世紀首創歐姆定律(Ohm’s law),認為橫跨電阻 R 上的電壓V 與流經其上的電流 I 之關係為

IRV = (1-1)

其中,V 為電壓(伏特,V), I 代表電流(安培,A), R 則是電阻(歐姆,

Ω )。(1-1)式是參考圖 1-1(a),即順著電壓降的方向為電流 I 的參考方向。

而圖 1-1(b)則是順著電壓升的方向定為電流 I 的參考方向,此時歐姆定

律就必須改寫為

IRV −= (1-2)

以下我們考慮電阻器端點的功率計算。若選擇圖 1-1(a)的參考系統時,

VIP = (1-3)

其中 P 代表電阻器端點的功率。若改為圖 1-1(b)的參考系統時,

VIP −= (1-4)

由(1-3)式, RIIIRVIP 2)( === (1-5)

R−

+V

I

(a) (b)

R−

+V

I

圖 1-1 電阻上電壓與電流的兩種表示法:(a)電流方向為順著電壓降之

方向,(b)電流方向為順著電壓升之方向。

1.2 基本的電路定律 17

由(1-4)式, RIIIRVIP 2)( =−−=−= (1-6)

因此,就圖 1-1 而言,不論使用何種參考系統,電阻器端點的功率永遠

是正值,其物理意義為消耗功率。

1.2.2 克希荷夫定律

1848 年,克希荷夫(Gustav Kirchhoff)在其論文上發表了克希荷夫定律

(Kirchhoff’s law),此定律主要是在電路元件相互連接之後為端電壓和端

電流間的關係寫下一些牽制條件方程式,分別是克希荷夫電壓定律

(Kirchhoff’s voltage law,簡稱 KVL)及克希荷夫電流定律(Kirchhoff’s current law,簡稱 KCL)。現在說明如下: 克希荷夫電壓定律(KVL) 在電路中繞著任一封閉路徑上之所有電壓代數和為零。以圖 1-2 為例,

由節點a 出發可得到下式

0321 =−++ SVVVV (1-7)

或可改寫為 321 VVVVS ++= (1-8)

於是 KVL 亦可敘述為在一迴路中電壓源的代數和等於所有組件上電壓

降的代數和。 克希荷夫電流定律(KCL) 在電路中流進任一節點的電流代數和為零。以圖 1-2 為例,由節點b 的

KCL 可得 0321 =++ III (1-9)

VS

R1

V1 −+

V3− +

R4

I1 I2

I4 I2

I3 R3−

+V2 R2

a b

c

圖 1-2 說明克希荷夫定律的一個例子。

電阻器端點的功率永遠是

正值,其物理意義為消耗

功率。

在一迴路中電壓源的代數

和等於所有組件上電壓降

的代數和。

18 第 1 章 電子電路的基本概念

其實 KCL 亦可敘述為流進任一節點的電流代數和等於流出該節點的電

流代數和。根據上一敘述,再考慮節點c 的 KCL 可得 324 III += ,代

入(1-9)式可得 041 =+ II ,此即與節點a 的 KCL 吻合。

1.3 惠斯登電橋

圖 1-3(a)為一惠斯登電橋電路,主要是用來測量中等電阻值(約在 1 Ω至

1 ΩM 之間)的一種技術,且其商用成品的精密度可高達 %1.0± 。此電

路包括一個直流電壓源 dcV (通常是乾電池),一個檢測器,以及四個

電阻分支所組成。其中 321 ,, RRR 是已知電阻,而 XR 則是未知電阻。 首先考慮電橋處於平衡狀態,也就是 GI 為零,見圖 1-3(b)。由克希荷

夫定律得知此時 31 II = 和 XII =2 ,因為 0=GI ,所以檢測器(一般是使

用微安(microampere)範圍的達松法電流計)的兩端沒有電壓降,因此 2211 RIRI = (1-10)

同時 XX RIRI =33 (1-11)

現在將 31 II = 和 XII =2 二式代入(1-11)式得

XRIRI 231 = (1-12)

再將(1-10)式代入(1-12)式可得

1

23 R

RRRX = (1-13)

R1 R2

RXR3

Vdc

(a) (b)

R1 R2

RXR3

VdcIG

I1

IX

I2

I3

圖 1-3 (a)惠斯登電橋電路,其中 R3為可變電阻,(b)平衡的惠斯登電橋

電路,其中令通過檢測器的電流為 IG。

流進任一節點的電流代數

和等於流出該節點的電流

代數和。

選擇性閱讀

1.4 Δ−Y 轉換 19

結論是,吾人可藉由調整可變電阻 3R 直至微安電流計上沒有電流為

止,此時可由上式求出未知電阻器 XR 的值。

1.4 Δ − Y 轉換

在許多電力系統相關的電路中,我們常會面對Δ 形及 Y 形結構,此時

−Δ Y 轉換將是非常重要的電路分析工具。以惠斯登電橋為例,若檢測

器以一等效電阻 eqR 取代時,其電路將變成圖 1-4。而在圖 1-4 中,觀察

1R 、 2R 、 eqR 三個電阻的連接方式很像一個希臘字Δ ,所以稱為Δ形連

接(delta interconnection)。事實上,Δ 形與π 形是等效的,所以又可稱為

π形連接(pi interconnection),如圖 1-5 所示。 所謂 Y−Δ 轉換的意思是指Δ 形連接可以用 Y 形連接來取代,如圖 1-6所示。現在考慮 Y−Δ 等效電路之推導:對於圖 1-6 中 a,b 兩端點間的

R1 R2

RXR3

Vdc

Req

圖 1-4 惠斯登電橋所對應的等效電阻性網路。

Rc

RaRb

a b

c

(a)

Rb Ra

Rcb

cc

a

(b)

圖 1-5 (a)Δ形連接與(b)π形連接之間其實是等效的。

選擇性閱讀

20 第 1 章 電子電路的基本概念

電阻而言,由Δ 形和 Y 形所得之結果必須相等,即

21

)()( RRRRR

RRRRRRRcba

baccbaab +=

+++

=+= (1-14)

同理, 32)( RRRRRR acbbc +=+= (1-15)

13)( RRRRRR bacca +=+= (1-16)

由以上三式聯立即可解得 Y−Δ 轉換:

cba

cb

RRRRRR

++=1 (1-17)

cba

ac

RRRRRR

++=2 (1-18)

cba

ba

RRRRRR

++=3 (1-19)

觀察上式可發現一簡單的關係,即 cba RRRRRR 321 == (1-20)

此外,若Δ 形中三個分支電阻相等時,即 Δ=== RRRR cba ,則代入(1-17)

至(1-19)三式可得

YRRRRR ΔΔ ====3321 (1-21)

此外,Y 形連接與 T 形連接(tee interconnection)之間其實是等效的,如圖

1-6 所示。 後,我們也可以由(1-17)至(1-19)三式中推導出 Y Δ− 轉換。也就是

說,以 Y 形連接中的三個電阻 1R 、 2R 、 3R 來表示Δ 形連接中的三個電

R1 R2

R3

b

c

a

b

cc

a

R3

R2R1Rc

RaRb

a b

c

圖 1-6 Δ−Y 轉換且 Y 形連接與 T 型連接之間是等效的。

1.5 電源轉換與米勒定理 21

阻 aR 、 bR 、 cR ,其結果如下:

1

133221

RRRRRRRRa

++= (1-22)

2

133221

RRRRRRRRb

++= (1-23)

3

133221

RRRRRRRRc

++= (1-24)

同時,讀者可再次看出以上三式與(1-20)式確實吻合。

1.5 電源轉換與米勒定理

1.5.1 電源轉換

在往後的許多電子電路(電晶體放大器、振盪器)的分析中,我們常常

需要將一個電流源並聯一個電阻,等效轉換於一個電壓源串聯一個相同

的電阻,反之亦然,如圖 1-7 所示。圖 1-7(a)為戴維寧(Thévenin)形式,

而圖 1-7(b)為諾頓(Norton)形式。而這種電源轉換(source transformation)成立的條件為

S

SS R

VI = (1-25)

同時 PS RR = (1-26)

以上二式的證明如下:首先假想在X、Y 兩端之間連接任何一個電阻

R ,而我們現在希望找到一組轉換使得圖 1-7(a)和(b)中這兩個電路對任

(a)

VS

RSX

Y

(b)

IS RP

X

Y

圖 1-7 電源的轉換:(a)戴維寧形式,(b)諾頓形式。

將一個電流源並聯一個電

阻,等效轉換於一個電壓

源串聯一個相同的電阻。

22 第 1 章 電子電路的基本概念

一電阻 R 而言均是等效的。而 容易找到這組轉換的 R 值就是 0=R (短

路)和 ∞=R (開路)。當 0=R 時,由圖1-7(a)中得知流經 R 的電流為

SS RV ,而由圖1-7(b)中所得之流經 R 的電流為 SI ,因此

SS

S IRV

= (1-27)

又當 ∞=R 時,由圖1-7(a)所得X 和Y 兩端之電壓為 SV ,而由圖1-7(b)所得X 、Y 兩端之電壓為 PS RI ,於是

PSS RIV = (1-28)

再將此式代入(1-27)式即可得出(1-26)式。

1.5.2 米勒定理

在往後的頻率響應與類比積體電路中,米勒定理(Miller theorem)為一非

常常用的電路理論。它主要是將一個雙埠的橋式元件 Z 轉換為兩個新的

元件 1Z 和 2Z ,分別出現在輸入端與輸出端,見圖 1-8。 假設 12 KVV = , K 表示順向電壓增益。由圖 1-8(a)和(b)可得

Z

KVZ

VVIZV )1(121

11

1 −=−== (1-29)

解得

K

ZZ−

=11 (1-30)

或以導納表示 )1(1 KYY −= (1-31)

同理,

Z

V1 V2

+

I1

+

I2

(a)

Z1V1 Z2

+V2

+

I1 I2

(b)

圖 1-8 米勒定理。

1.5 電源轉換與米勒定理 23

Z

KV

ZVVI

ZV

⎟⎠⎞

⎜⎝⎛ −

=−==

11212

22

2 (1-32)

解得

K

ZZ11

2

−= (1-33)

或以導納表示

⎟⎠⎞

⎜⎝⎛ −=

KYY 112 (1-34)

例題 1

試以電源轉換簡化圖 1-9 所示電路,並求出由 46V 電源所供應之電流。

以及在電阻網路上的全部功率散逸。

解: 首先將 40V 電壓源與 5 Ω電阻串聯部份利用電源轉換改為 8A 電流

源並聯 5 Ω電阻如圖 1-10(a)。又因 Ω= 4205 ,於是由 8A 電流源

並聯 4Ω電阻可等效轉換為 32V 電壓源串聯 4Ω電阻,見圖 1-10(b)。

再將 32V 電壓源串聯 20 Ω電阻的部份利用電源轉換等效為 1.6A電流源並聯 20 Ω電阻如圖 1-10(c)。這是因為由(1-25)式,32V/20Ω = 1.6A 以及(1-26)式中戴維寧等效之串聯電阻即為諾頓等效之並聯

電阻。 後再將 1.6A 電流源並聯 10 Ω電阻 )102020( Ω= 等效為

16V 電壓源串聯 10 Ω電阻如圖 1-10(d)。 於是計算出由 46V 電壓源所送出之電流為 A1)1020()1646( =+− 。

此外,讀者亦可計算散逸在整個電阻網路上的功率 DP ,由(1-5)式可得 W301)1020( 2 =×+=DP 。

20Ω

20Ω

20Ω

10Ω

40V46V

圖 1-9 例題 1:電路圖。

24 第 1 章 電子電路的基本概念

(a)

20Ω

20Ω

20Ω

10Ω

5Ω 8A46V

(b)

20Ω

20Ω 6Ω

10Ω

46V

32V

(c)

20Ω

20Ω

20Ω 1.6A46V

(d)

20Ω 10Ω

46V 16V

圖 1-10 例題 1:電路之分析與簡化過程。

1.6 訊號與頻譜 25

1.6 訊號與頻譜

1.6.1 訊號

資訊(information)可以說是對於一種狀況或事件的認知。同樣地,訊號

(signal)則是這些認知在傳遞時所用的工具,而電子系統可幫助我們對於

由一組接收到的訊號獲取想要的資訊。無論如何,為了讓一個訊號在電

子系統中被處理,則它必須被轉換成一個電訊號,也就是一電壓或是一

電流。這個過程是被一元件稱為轉送器(transducers)所完成。目前市面上

有許多不同的轉送器,而每一個轉送器適用於一種型式的物理訊號。譬

如說,由人類產生的聲波可以用一個麥克風(microphone)轉變成一電訊

號,所謂麥克風即為一個壓力轉送器(pressure transducer)。在這裡我們的

目的並非去研究一個轉送器;而是將有興趣的訊號假設已經存在於電域

(electrical domain)中,並且研究利用電子電路處理這些訊號的過程。 由以上的討論我們得知一個訊號是一個時變量,如圖 1-11 所示。事實

上,此訊號的訊息內容可以由其大小(magnitude)隨時間的變化來代表;

也就是說,此訊息是包含在信號波形的 “擺動” 中。

1.6.2 類比訊號

圖 1-11 的電壓訊號稱為類比訊號(analog signal),所以取名為類比是因為

此訊號類比於它所代表的物理訊號。一類比訊號在它活動的範圍可呈現 出連續的變化。世界上圍繞在我們周遭的訊號大多是類比,而電子電路

t

v (t)

圖 1-11 一隨時間變化的電壓信號 v(t)。

選擇性閱讀

26 第 1 章 電子電路的基本概念

tΔt2Δt

3Δt

v (t)

圖 1-12 對圖 1-11 中之類比訊號取樣可得分立的時間訊號。

中能處理此種訊號者稱為類比電路(analog circuits)。

1.6.3 數位訊號

表示訊號的另一種形式為使用一連串的數字,每一個數字代表任某一瞬

間的訊號振幅,這種訊號稱為數位訊號(digital signal)。為了了解如何獲

得這種數位訊號,我們考慮將圖 1-11 所示的連續時間的訊號每隔一段固

定的時間區間測量其訊號強度,此過程稱為取樣(sampling)。而取樣後所

得到的訊號表示法見圖 1-12,顯然它不再是一個時間的連續函數,而是

一個分立時間訊號(discrete-time signal)。

1.7 放大器

1.7.1 基本觀念

訊號放大(signal amplification)為一 基本的訊號處理功能。每當轉送器

所提供的訊號非常微弱,例如在微伏特(microvolt, Vμ )或是毫伏特

(millivolt,mV)範圍且其能量很低,此時就需要提供訊號放大。因為訊

號微弱時,訊號處理的可靠度就差;若訊號振幅能提昇的話,則訊號處

理就容易的多了。 在訊號放大時,對於線性度(linearity)的探討是絕對必要的。因為在訊

號放大過程中,我們必須注意到原來包含在訊號內的訊息沒有改變且沒 有新的訊息介入。若有其他訊息介入造成波形上的變化則稱為訊號失真

(signal distortion),顯然這是我們不願見到的現象。 若有其他訊息介入造成波

形上的變化則稱為訊號失

真。

1.7 放大器 27

1.7.2 放大器增益

若一放大器輸出和輸入訊號間的關係為 )()( tAvtv IO = (1-35)

其中 Iv 和 Ov 分別代表輸入和輸出訊號,而 A則是一個代表放大振幅的常

數,稱為放大器增益(amplifier gain)。方程式(1-35)為一線性關係式;因

此它所描述的放大器稱為線性放大器(linear amplifier)。讀者不難想像

出,若(1-35)式中出現一些 Iv 的高階項,則 Ov 的波形將不再與 Iv 者完全

相同,像這類的放大器就會出現非線性失真(nonlinear distortion)。例如, )()()()( 3

32

21 tvAtvAtvAtv IIIO ++= (1-36)

訊號放大器很顯然是一個雙埠網路(two-port network)。習慣上放大器

的電路符號可以圖 1-13 表示,圖中顯示出三角形的指向即代表由輸入至

輸出訊號傳輸的方向。而共同端點作為電壓參考點,稱為電路接地(circuit ground)。 圖 1-13 放大器的電壓增益(voltage gain) vA 定義為

I

Ov v

vA Δ= (1-37)

若吾人自輸入端加入振幅為V 的正弦電壓,則經由圖 1-14 之轉換可得出

振幅為 VAvˆ 的輸出正弦波。有時候,電壓增益以對數表示會比較方便(例

如頻率響應和波德圖等),於是以分貝(decibels)表示的電壓增益如下:

dBlog20)dB( vv AA Δ= (1-38)

圖 1-13 放大器的電流增益(current gain) iA 定義為

I

Oi i

iA Δ= (1-39)

Av

iI

vI (t)

iO

+

vO(t) RL−+

圖 1-13 放大器的電路符號,圖中亦顯示介於輸入和輸出的共同端點。

28 第 1 章 電子電路的基本概念

0 vI

vO

斜率 = Av

圖 1-14 一電壓增益為 Av之線性電壓放大器其轉換特性。

或以分貝表示如下:

dBlog20)dB( ii AA Δ= (1-40)

至於功率增益(power gain) pA 定義為

II

OO

I

LP iv

ivPPA ==Δ (1-41)

其中 LP 和 IP 分別代表負載功率和輸入訊號功率, Oi 為放大器傳送至負載

的電流,

L

OO R

vi = (1-42)

而 Ii 則是訊號源送出的電流。(1-41)式若以分貝表示:

dBlog10)dB( PP AA Δ= (1-43)

1.7.3 放大器效率

放大器為一主動(active)裝置,也就是必須要提供直流電源才能正常操

作。考慮一個連接於正負兩電源 +V 和 −V 的放大器,見圖 1-15(a)。請注

意在此雙直流電源系統中,參考接地點即是兩電源供應器的共同端點。

習慣上可將圖 1-15(a)中直流電源的連接方式簡化為圖 1-15(b)中僅以箭

頭表示,關於這點要請讀者特別注意。 圖 1-15(a)中顯示正電源 +V 送出的直流電流為 1I ,而負電源 −V 吸入的

直流電流為 2I ,於是傳送至放大器的直流功率

)( 21 IVIVPPP SSS −+=+= −+−+

21 IVIV −+ += (1-44)

參考接地點即是兩電源供

應器的共同端點。

1.7 放大器 29

(a)

I1

I2RL

vI (t)

+

vO (t)V −

V +

−+

(b)

RLvI (t)

+

vO (t)V −

V +

−+

圖 1-15 (a)一個需要正負兩電源才能正常操作的放大器,(b)直流電源連接方式的簡易表示法。

此外,一電子放大器操作時絕對有部份能量轉換成熱的輻射,關於這部

份我們以 DP 表示功率散逸(power dissipation)。於是基於能量不滅原理

(principle of conservation of energy),我們可寫下此放大器之功率平衡關

係式: LDIS PPPP +=+ (1-45)

因為由訊號源送出的功率 IP 通常很小,可以忽略,於是放大器的效率

(efficiency)可定義為負載功率與供應功率之比,

%100%100 ×=×=ΔS

L

PP

供應功率

負載功率η (1-46)

例如在音響系統的輸出放大器需要用到功率放大器(power amplifier),此

類放大器需要處理大量的功率,此時功率轉換效率即成為一非常重要的

參數。

1.7.4 放大器偏壓

一般實際的電子放大器大都具有非線性轉換特性,也必然存在兩個輸出

飽和電壓(output saturation voltage) MV 和 mV ,見圖 1-16(b)。於是為了避

免輸出波形經由非線性轉換造成失真甚至輸出波形尖峰被截掉造成更

嚴重的失真,於是輸入訊號必須相對很小。 首先,吾人外加一直流電壓 IQV ,見圖 1-16(a),經由圖 1-16(b)可得一

操作點(operating point) Q ,且對應一直流輸出電壓 OQV 。此操作點又名

放大器的效率可定義為負

載功率與供應功率之比。

30 第 1 章 電子電路的基本概念

(a)

RL

vi (t)

+

vO (t)=VOQ+vo (t)V −

V +

Av

VIQ

−+

(b)

vi (t)

tVIQ

00

Vm

VOQ

VM

vO

Q

vI

t

斜率=Av

vo (t)

圖 1-16 (a)一具有交流與直流成份共存的放大器,(b)一放大器的電壓

轉換特性,顯示了相當程度的非線性。

靜態點(quiescent point),或是直流偏壓點(dc bias point)。現在吾人將欲

放大的時變訊號 )(tvi 疊加在直流偏壓點之上,見圖 1-16(a)。於是全部瞬

時輸入(total instantaneous input) )(tvI 可寫為

)()( tvVtv iIQI += (1-47)

這個 )(tvI 在 IQV 附近變動,使得圖 1-16(a)的瞬時操作點(instantaneous

operating point)上下來回振動,於是經由此圖轉換對應出全部瞬時輸出

)(tvO ,

1.8 頻率響應與波德圖 31

)()( tvVtv oOQO += (1-48)

以上二式中交流成份(即時變成份)間之關係為

)()( tvAtv ivo = (1-49)

其中電壓增益 vA 之定義為

QI

Ov dv

dvA Δ= (1-50)

以上所敘述的這種操作方式稱為小訊號操作(small-signal operation)

即輸入時變訊號的變動範圍很小,使得放大器的操作被侷限在操作點附近

一個範圍很小且近似線性的線段上,而此近似線性線段之斜率即反應出輸

出訊號振幅與輸入訊號振幅之比,此即電壓增益。在此電壓增益為負值(圖1-16(b)中之斜率為負),這代表輸出訊號與輸入訊號間為 180°反相位

(out-of-phase)。反之,若電壓增益為正值,則代表兩者間為同相位(in phase)。

1.8 頻率響應與波德圖

1.8.1 頻譜

對於一隨時間任意變化的訊號而言,描述此訊號特性 有用的方法就是

表示其頻譜(frequency spectrum)。而這種表示法是經由一些數學工具

傅立葉級數(Fourier series)和傅立葉轉換(Fourier transform)而得到的。

傅立葉級數僅能適用於訊號波形為時間之週期性函數的情況。而傅立葉

級數的極限情況傅立葉轉換則可用於獲得非週期性函數的頻譜。 傅立葉級數可用來將一給定的週期性時間函數展開成一無窮多個正

弦函數之和,而這些正弦函數之間彼此形成諧波關係。而傅立葉轉換可

以應用至一非週期性時間函數,如圖 1-11 所示,且提供其頻譜為一頻率

的連續函數,見圖 1-17。這不像在週期性信號中其頻譜是由分立頻率(基頻和它的諧波)所組成的情況一樣,一非週期性訊號的頻譜一般包含許多

可能的頻率。也就是說,一個訊號是由許多不同的頻率成份所組成。無

論如何,實際訊號頻譜的主要部份通常被限制在頻率軸上的一小段範圍

內關於這一點在作訊號處理時將非常有用。例如,像講話和音樂這

種可聽得到聲音的頻譜一般是由 20Hz 延伸至約 20kHz此頻率範圍

即為聲頻帶(audio band)。

傅立葉級數僅能適用於訊

號波形為時間之週期性函

數的情況。而傅立葉級數

的極限情況傅立葉轉

換則可用於獲得非週期性

函數的頻譜。

一非週期性訊號的頻譜包

含許多可能的頻率。

輸入訊號的變動範圍很

小,使得放大器的操作被

侷限在操作點附近範圍很

小且近似線性的線段上。

32 第 1 章 電子電路的基本概念

ω

V (ω)

圖 1-17 一任意電壓訊號之頻譜。

1.8.2 轉換函數

在處理放大器頻率響應(frequency response)時的主要工作就是要將放大

器的電壓增益以複數頻率(complex frequency) s 表示成轉換函數(transfer function) 的型式。在此 s − 區域分析時,一電容 C 可被一導納

(admittance) sC 所取代,或阻抗為 1/sC;而電感 L可被一阻抗 sL 所取代。

後,使用電路分析技巧推導出電壓轉換函數 )()()( sVsVsH ioΔ= 。一旦

求得轉換函數 )(sH 之後,即可將 s 以 ωj 取代(ω 為實際頻率)。所得到

的轉換函數 )( ωjH 為一複數量(complex quantity),由其大小值(magnitude)

可得大小響應 (magnitude response),其角度可得相角響應 (phase response)。 本書所處理的電路其 )(sH 均可表示為以下的型式

0

11

01

1)(asasabsbsbsH n

nn

n

mm

mm

++++++

= −−

−−

L

L (1-51)

其中係數 a 和 b 都是實數,而分子的次數 m 小於或等於分母的次數 n;n 稱為網路的階數(order)。再者,對於一穩定電路(也就是自己不會產生

訊號)而言,分母的係數必須使得分母多項式的所有根具有負實部。 )(sH 的另一種型式可表示為

)())(()())(()(

21

21

n

m

PsPsPsZsZsZsKsH

−−−−−−

=L

L (1-52)

其中 K 為乘積常數, 1Z , 2Z ,…, mZ 為分子多項式的根,而 1P, 2P ,…,

nP 為分母多項式的根。 1Z , 2Z ,…, mZ 稱為零點(zeros)或傳輸零點

將放大器的電壓增益以複

數頻率 s 表示成轉換函數

的型式。

1.8 頻率響應與波德圖 33

(transmission zeros),而 1P, 2P ,…, nP 稱為極點(poles)或自然模式(natural

modes)。 極點和零點可以是實數或複數。但由於係數 a、b 為實數,所以複數

極點(或複數零點)必然以共軛複數對(conjugate pairs)的型式出現。譬如

23 j+ 為一零點,則 23 j− 必然是同一網路中之零點。

1.8.3 波德圖

一轉換函數在極點和零點已知時,我們可以利用一簡單的方法得到大小

和相角的近似圖形。此方法對於實數的極點和零點而言,特別有用。這

個方法是由波德提出的,所畫出的圖形就被稱為波德圖(Bode plots)。 在本章中所有轉換函數均具有實數極點和零點,且可以寫成一階轉換

函數的乘積。而一階轉換函數的一般型式為

psKsKsH

ω++= 01)( (1-53)

其中 pω 為實數極點的位置。 pω 被稱為極點頻率(pole frequency),應等

於在此單一時間常數(single-time-constant,簡稱 STC)網路中時間常數的

倒數。另外常數 0K 和 1K 決定了 STC 網路的型式。STC 網路中 簡單的

兩種型式為低通(low pass)和高通(high pass)。以下分別討論。 一階低通網路 一個 STC 低通網路的轉換函數 )(sH 可表示成

)(1

)(ps

KsHω+

= (1-54)

由 ωjs = 得

)(1

)(pj

KjHωω

ω+

= (1-55)

因此大小響應為

2)(1

)(p

KjHωω

ω+

= (1-56)

若以 dB 表示,

2

1log20log20

)(log20)dB()(

⎟⎟⎠

⎞⎜⎜⎝

⎛+−=

p

K

jHjH

ωω

ωω

(1-57)

34 第 1 章 電子電路的基本概念

ω

3dB

真實曲線

波德圖)(log20 ωjH

20log K

20log K−20

0.1ωP ωP 10ωP

−20dB/decade或 −6dB/octave

(dB)

圖 1-18 一階低通濾波器的大小響應。

圖 1-18 顯示對於一階低通網路以 dB 表示的大小響應,大小曲線與兩

直線的漸近線非常接近,由這兩條漸近線所構成的部份即為波德圖。其

中低頻漸近線為一在 Klog20 的水平直線,而為求高頻漸近線的斜率考

慮(1-56)式並且令 1>>pωω ,得

ωω

ω pKjH ≅)( (1-58)

或是

⎟⎟⎠

⎞⎜⎜⎝

⎛−≅

p

KjHωωω log20log20)(log20 (1-59)

上式代表在對數頻率軸上若每增加十倍(decade)的頻率,則增益就衰減

20dB ( dB201.0log20 −= ),因此我們將此高頻漸近線的斜率表示為 20− dB/decade。或將ω 增加 2 倍頻率,因 =2log20 6dB,故斜率亦可表為

6− dB/octave。這兩條漸近線在 “轉角頻率” pω 處交會。注意實際的大

小響應曲線和漸近響應(asymptotic response)在轉角頻率的差異 大,差

異值有 3dB 之多。因為

dB3log202

log20)(log20 −== KKjH pω (1-60)

所以在 pωω = 處的增益比 dc 增益減少了 2 倍,代表增益比 dc 增益減

少了 3dB。因此轉角頻率 pω 常被稱為 3dB 頻率。

另一方面,相角響應可由(1-55)式求得。假設 K 為一正值,再考慮實

際頻率ω 亦為一正值,於是轉換函數 )( ωjH 之相角 )(ωθ 為一定義域介

於 0°和−90°間之第四象限角,其值可表示為

在對數頻率軸上若每增加

十倍的頻率,則增益衰減

20dB。

1.8 頻率響應與波德圖 35

5.7

θ (ω)

真實曲線

−45 /decade

波德圖

ωP 10ωP ω0.1ωP

−45

0

−90

5.7

圖 1-19 一階低通網路的相角響應。

⎟⎟⎠

⎞⎜⎜⎝

⎛−= −

pωωωθ 1tan)( (1-61)

圖 1-19 的相角響應曲線亦逼近於一直線的漸近線(此漸近線部份即為

相角的波德圖)。注意在轉角頻率處相角為 /decade45°− 的直線(虛線所示)將近似於相角函數,且可看出在 pω1.0 至 pω10 之間兩者的 大誤差為

5.7°。 一階高通網路 一個 STC 高通網路的轉換函數 )(sH 可表示成

)(1

)(s

KsHpω+

= (1-62)

ωjs = 代入上式得

)(1

)(ωω

ωpj

KjH−

= (1-63)

因此大小響應為

2)(1

)(ωω

ωp

KjH+

= (1-64)

和相角響應為

⎟⎟⎠

⎞⎜⎜⎝

⎛= −

ωω

ωθ p1tan)( (1-65)

以上兩者分別畫在圖 1-20(a)和(b)。在大小響應部份,圖 1-20(a)呈現與 圖 1-18 相反的高通響應,其中 pω 以下為一斜率為+20dB/decade 之直線。

至於圖 1-20(b)之相角響應則與圖 1-19 類似,只是向上平移 90°。這是因 為由(1-63)式分母的有理化(分子與分母同乘上 )(1 ωω pj+ )可看出轉移

函數的相角應為第一象限角。

36 第 1 章 電子電路的基本概念

(a)

3dB真實曲線

波德圖)(log20 ωjH

20log K

20log K−20

ω

+20dB/decade或 +6dB/octave

0.1ωP ωP 10ωP

(dB)

5.7 θ (ω)

真實曲線−45 /decade

波德圖

ωP 10ωP

5.7

ω0.1ωP

90

45

0

(b)

圖 1-20 一階高通網路的(a)大小響應和(b)相角響應。

例題 2

下式代表某一放大器之電壓轉換函數

⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ +

=52 10

110

1

10)( ssssH

試分別畫出此轉換函數之大小及相角波德圖。

解:

(a) 大小響應,見圖 1-21。 圖 1-21 顯示轉換函數中不同因子的漸近波德圖。詳述如下:

(1) 曲線1 :代表乘積常數 10。 (2) 曲線2 :一斜率為 +20dB/decade 的直線,對應轉換函數中

分子的 s 項,也就是在 0=s 的零點。

1.8 頻率響應與波德圖 37

5

4

3 105

)(log ωjH20

-20

01

2

2

107

1

4

1

ω (rad/s)102

3

20

40

605

(dB)

圖 1-21 例題 2:轉換函數的大小波德圖。

43

1050.1

1

ω (rad/s)

5

+45

+90

5102

5

2)(ωθ

−45

−90

圖 1-22 例題 2:轉換函數的相角波德圖。

(3) 曲線3 :對應分母中的 )10/1( 2s+ ,也就是在 210−=s 的極

點。曲線3 是由兩漸近線組成,且兩者交於 210=ω 。 (4) 曲線4 :對應分母中的 )10/1( 5s+ ,也就是在 510−=s 的極

點。曲線4 中之兩漸近線交於 510=ω 。 (5) 曲線5 : 後將以上四個曲線相加即可得到放大器增益的漸

近波德圖,見曲線5 。

38 第 1 章 電子電路的基本概念

(b) 相角響應,見圖 1-22。 (1) 曲線1 :代表常數 10。 (2) 曲線2 :在 0=s 的零點產生+90°的相角函數,以曲線2 表

示。 (3) 曲線3 :在 210−=s 的極點所產生的相角函數 3θ 為

21

3 10tan ωθ −−=

(4) 曲線4 :在 510−=s 的極點所產生的相角函數 4θ 為

51

4 10tan ωθ −−=

(5) 曲線5 : 後將以上四曲線直接相加即可得到全部的相角響

應,見曲線5 。

1.9 數位電路的基本認識

在二元數位電路(binary digital circuits)中,我們以兩個分開的電壓準位來

代表二元變數中的兩個值。如圖 1-23 所示,若訊號電壓落在 (max)OLV 和

(min)OLV 之間,則此訊號被稱為邏輯 0 (logic 0);若訊號電壓落在 (max)OHV 和

(min)OHV 之間,則此訊號被稱為邏輯 1 (logic 1)。在圖 1-23 中邏輯 1 的電

壓要比邏輯 0 的電壓為高,這種系統我們稱之為正邏輯系統(positive logic system);反之則稱為負邏輯系統(negative logic system)。本書中我

電壓

邏輯1

邏輯0

未定義區域

VOH(max)

VOH(min)

VOL(max)

VOL(min)

圖 1-23 使用兩個分開的電壓準位以代表數位電路中的兩個值。

1.9 數位電路的基本認識 39

們均假設操作在正邏輯系統。再者,在許多場合中我們將使用 “高態” 和 “低態” (“high” 和 “low”)分別代替 1 和 0。

1.9.1 數位電路族系與實際包裝

積體式數位電路可被分為許多不同的族系。每一族系均以相同技術製作

而成,它們具有類似的電路結構並且表現出相同的基本特性。在十三章

中我們將討論兩種 MOS 族系:NMOS 和 CMOS。前者僅使用 n−通道

(a)

0.8cm

2cm

包住積體電路的塑膠或陶瓷材料

連接至電路的金屬針腳

(b)

VCC

891011121314

7654321GND

圖 1-24 一 14-針的積體電路:(a)實際的外貌,(b)一具有四個雙輸入的

NAND 閘之電路圖。

40 第 1 章 電子電路的基本概念

MOSFET;後者則同時使用 n−通道和 p−通道電晶體。而十四章我們將

介紹兩種 BJT 邏輯族系:電晶體−電晶體邏輯(TTL)和射極耦合邏輯

(ECL)。 圖 1-24(a)顯示一常見 IC數位電路的實際包裝,此包裝是以塑膠(plastic)或陶瓷(ceramic)材料所製成,被稱為雙線包裝(dual-in-line package,簡稱

DIP),它共有 14 個針腳,其它亦有 16、24 和 40 針包裝。4 個雙輸入的

NAND 閘包裝內部電路圖見圖 1-24(b)。

1.9.2 積體層次

在每一邏輯族系內均可作出許多不同型式的邏輯函數。依照在 IC 晶片

(IC chip)中電路的複雜程度,積體包裝(package)的層次可以分成下列四

種型式: 1. 小型積體電路(small-scale integrated circuit,簡稱 SSI) 2. 中型積體電路(medium-scale integrated circuit,簡稱 MSI) 3. 大型積體電路(large-scale integrated circuit,簡稱 LSI) 4. 超大型積體電路(very-large-scale integrated circuit,簡稱 VLSI) 雖然這些不同的積體層次之間並沒有很明顯和很嚴格的邊界區分,但

根據在晶片上 “等效邏輯閘” 的數目可大概區分如下:對 SSI 而言,在

晶片上大約有 1 至 10 個閘;MSI 之晶片約有 10 至 100 個閘;就 LSI 而言,一晶片約有 100 至 1000 個閘; 後,若一晶片上的邏輯閘數目超

過 1000 個,則可歸類為 VLSI。

1.10 邏輯函數

1.10.1 基本函數

NOT 函數 在一個數位計算機中由元件所執行的三種基本的邏輯函數為 NOT、AND以及 OR 函數。首先考慮 簡單的 NOT 閘,見圖 1-25(a)和(b),其真值

表(truth table)為

A Y 1 0

0 1

選擇性閱讀

1.10 邏輯函數 41

(a)

A Y

(b)

YA

(c)

YAB

(d)

YAB

圖 1-25 (a)NOT 閘的電路符號,(b)另一種 NOT 閘的符號,(c)AND 閘

的電路符號,(d)OR 閘的電路符號。

若以布林代數(Boolean algebra)表示可寫為

AY = (1-66)

這是數位電路中 基本的關係式,我們稱之為 NOT 函數或是反相函數。

它代表當 0=A 時, 1=Y ;當 1=A 時, 0=Y 。在電路工作方面,它代

表當輸入 A 為邏輯低態,輸出 Y 為邏輯高態;當 A 為邏輯高態,Y 則為

邏輯低態。 AND 函數 為了建立 AND 函數的真值表,我們考慮兩個變數 A 和 B 可產生四種可

能的組合:

A B 0 0 1 1

0 1 0 1

於是此二變數執行 AND 作用後,上表中的前三列將產生輸出 0=Y ,第

四列將產生輸出 1=Y 。以邏輯方程式的型式表示即為

0011

⋅ ⋅ ⋅ ⋅

0 1 0 1

= = = =

0 0 0 1

於是其真值表為

42 第 1 章 電子電路的基本概念

A B Y 0 0 1 1

0 1 0 1

0 0 0 1

真值表中敘述若輸入 A 和 B 至少有一為 0 (低態),則輸出 Y 為 0;若 A和 B 同時為 1 (高態),則輸出 Y 為 1。以布林代數表示可寫為

ABY = (1-67)

以電路符號表示 AND 閘見圖 1-25(c)。 OR 函數 OR 函數以布林代表數示可寫為

BAY += (1-68)

在邏輯方程式的運算中具有四種可能的組合

0011

++++

0101

====

0111

於是其真值表為

A B Y 0 0 1 1

0 1 0 1

0 1 1 1

真值表中敘述若 A 和 B 均為 0 時,則 Y 為 0;若 A 和 B 中至少有一為 1時,則 Y 為 1。OR 閘的電路符號見圖 1-25(d)。

1.10.2 布林定理

在布林代數中與 OR 運算有關的布林定理如下:

ABBA +=+ (1-69)

CBACBA ++=++ )()( (1-70)

11 =+A (1-71)

1.10 邏輯函數 43

AA =+ 0 (1-72)

AAA =+ (1-73)

這些方程式可以很容易地經由 OR 運算的定義而獲得驗證。以外,與

AND 運算有關的布林定理如下:

BAAB = (1-74)

CABBCA )()( = (1-75)

AA =1 (1-76)

00 =A (1-77)

AAA = (1-78) ACABCBA +=+ )( (1-79)

以上這些方程式也可以很容易地經由 AND 運算的定義而獲得驗證。同

時,利用(1-71)、(1-76)和(1-79)三式可以證明

AABA =+ (1-80)

或可表為 ABAA =+ )( (1-81)

再者,利用(1-79)和(1-78)之式可得

BCA

BCCBA

BCACABA

BCACABAACABA

+=

+++=

+++=

+++=++

)1(

))((

(1-82)

或改寫為 ))(( CABABCA ++=+ (1-83)

由 NOT、AND 和 OR 等運算之基本定義可獲得以下在數位邏輯運算中

常用的布林定理:

AA = (1-84)

1=+ AA (1-85)

0=AA (1-86)

BABAA +=+ (1-87)

其中(1-87)式的證明如下:

44 第 1 章 電子電路的基本概念

BAABABAABAAABBABABAA

+=+=++=

++=++=+

)(

)1(

(1-88)

或是可以用真值表的方法證明如表 1-1。 接下來我們介紹很有名的兩個二進位方程式,稱為狄摩根定律(De- Morgan’s laws):

BABA =+ (1-89)

BAAB += (1-90)

可以用真值表證明如表 1-2。 此外,我們也可以觀察法來證明(1-90)式。注意若 A 和 B 為 1,則(1-90)式的兩側均等於 0;且若 A 和 B 中至少有一為 0,則該式的兩側均等於

1。而(1-89)式也可以類似的觀察法來證明。狄摩根定律亦可表示成以下

的型式:

表 1-1 證明(1-88)式之真值表。

A B BA + A BA BAA +

0

0

1

1

0

1

0

1

0

1

1

1

1

1

0

0

0

1

0

0

0

1

1

1

表 1-2 證明狄摩根定律中之真值表。

A B A + B BA + AB AB A B BA BA +

0

0

1

1

0

1

0

1

0

1

1

1

1

0

0

0

0

0

0

1

1

1

1

0

1

1

0

0

1

0

1

0

1

0

0

0

1

1

1

0

1.10 邏輯函數 45

表 1-3 布林定理的歸納整理。

OR AAAAA

A

=+=+=+

011

AND AAA

AAA

===

001

基本定律

NOT 0

1=

=+

=

AAAAAA

交換律 BAABABBA

=+=+

結合律 )()()()(

BCACABCBACBA

=++=++

分配律 ACABCBA +=+ )(

多餘特性 BCACABA

BABAAAABA

+=+++=+

=+

))((

狄摩根定律 LL

LL

++++=

=++++

DCBAABCD

DCBADCBA

LL DCBADCBA =++++ (1-91)

以及

LL ++++= DCBAABC (1-92)

後為了讀者參考方便起見,我們將這些布林定理歸納在表 1-3。

1.10.3 NAND 和 NOR 函數

大部份商用現成的電子開關並不會執行 OR 和 AND 等邏輯函數,反而

是執行 AND − NOT(NAND)以及 OR − NOT(NOR)等組合運算。首先考

慮 NAND 函數,

ABY = (1-93)

其真值表如下:

46 第 1 章 電子電路的基本概念

A B AB AB

0 0 1 1

0 1 0 1

0 0 0 1

1 1 1 0

NAND 的運算敘述著:至少有一輸入是 0,則輸出是 1;若所有的輸入

是 1 時,則輸出才是 0。當 NAND 運算被應用到兩個變數以上時,則可

以寫為

LABCY = (1-94)

電路符號見圖 1-26。此外,NAND 的運算滿足交換律(commutative law),也就是

L===== ACBCABBACABCY (1-95)

但是無論如何,NAND 運算並不滿足結合律(associative law),因為

)()( BCACAB ≠ (1-96)

接下來考慮 NOR 函數,

BAY += (1-97)

其真值表如下:

A B BA + BA +

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 0

真值表中敘述:所有的輸入是 0,則輸出是 1;若至少有一輸入是 1,則

輸出是 0。NOR 的電路符號見圖 1-27。此外,NOR 的運算滿足交換律,

也就是

(a)

ABC

ABCABCY =

(b)

ABC

ABCY =

圖 1-26 NAND 閘的電路符號

1.10 邏輯函數 47

(a)

ABC

CBAY ++=

(b)

ABC

CBAY ++=

圖 1-27 NOR 閘的電路符號。

L=++=++= ACBCBAY (1-98)

但是,NOR 運算並不滿足結合律

CBACBA ++≠++ (1-99)

1.10.4 互斥−OR 函數

互斥−OR 函數之表示法為

BAY ⊕= (1-100)

其定義如真值表所示:

A B Y 0 0 1 1

0 1 0 1

0 1 1 0

真值表中敘述:若正好僅有一個輸入為 1 時,則輸出為 1。此敘述亦等

效於 “若 1=A 或 1=B 但是 A 與 B 不能同時為 1,則 1=Y 。”以布林代數

來表示,則

))(( ABBAY += (1-101)

再者,互斥−OR 函數的布林代數表示式亦可由真值表中的第二和第三行

推導而得,即 “ 10 == BA 且 ,則 1=Y ;或是 01 == BA 且 ,則 Y 亦等

於 1。”,於是

BABAY += (1-102)

圖 1-28(a)中所示為實現此函數的電路圖。 互斥−OR 函數常被應用在電腦的算術單元中。而另一種應用則是作為

不等比較器(inequality comparator),因為由真值表中可看出 “只有在

48 第 1 章 電子電路的基本概念

(a)

AB

BA

BA

BABAY +=

(b)

AB

AB

BAABY +=

BA

圖 1-28 實現互斥-OR 函數的電路圖。

AB Y

圖 1-29 互斥-OR 閘的簡化的電路符號。

BA ≠ 時,則 1=Y 。” 利用此特性可以來檢驗兩個位元的不等性。於是

由互斥−OR 函數的真值表可得到另一種等效敘述:若 A 和 B 同時為 1或是 A 和 B 同時為 0,則 0=Y 。也就是

BAABY += (1-103)

見圖 1-28(b)。而簡化的電路符號見圖 1-29。

1.10.5 乘積之和

第一種將考慮的邏輯函數標準型式為乘積之和(sum-of-products)。例如,

考慮此函數 ))(( BCAABDY ++= (1-104)

1.10 邏輯函數 49

為了將上式表示為乘積之和的型式,我們可以利用分配律(distributive law)將上式展開:

ABCBCDABAD

ABBCBCDAABADBCABDAABDY

+++=+++=

+++= )()(

(1-105)

此即我們想要的乘積之和。 有時候則必須使用狄摩根定律。例如,考慮

DCABY )( += (1-106)

利用分配律可得

DCDABY += )( (1-107)

(1-107)式並不是我們想要的乘積之和的型式,因為式中出現了 NAND 函

數 AB。於是我們利用狄摩根定律,

BAAB += (1-108)

則(1-107)式可改寫為

DCDBDADCDBAY ++=++= )( (1-109)

此即我們想要的型式。 注意在(1-105)式和(1-109)式中並不是每一項均包含了所有的變數。如

果做進一步的標準化將可使得所有的變數出現在每一項中,這種型式稱

為擴展的乘積之和(expanded sum-of-products form)。為了說明這件事

情,我們考慮表 1-4 中所對應 Y 的邏輯函數。

表 1-4 說明擴展的乘積之和所用的真值表。

變數 列 A B C Y

1 2 3 4 5 6 7 8

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

1 0 1 1 1 0 1 1

50 第 1 章 電子電路的基本概念

由表 1-4 中得知,在第 1、3、4、5、7 和 8 列中 1=Y 。首先考慮第 1列,由此列得知若 0=A 且 0=B 且 0=C 時,則 1=Y 。亦即

1=CBA (1-110)

讀者可檢驗此式發現只有當 0=A 、 0=B 和 0=C 時,這個表示式才等

於 1。又例如考慮第 4 列可得

1=BCA (1-111)

注意不論第 1 列或是第 4 列均將導致 1=Y 。因此,就僅考慮此二列而言,

我們可以寫下

BCACBAY += (1-112)

其中(1-112)式中右式的這兩項分別代表真值表中的第 1 列和第 4 列。於

是,由此下去可得出 後的邏輯方程式為

ABCCABCBABCACBACBAY +++++= (1-113)

此即擴展的乘積之和的型式,其中的每一項稱之為最小項(minterm)。不

過,(1-113)式可以簡化為

CBY += (1-114)

讀者可自行證明。

1.10.6 和之乘積

另一種邏輯函數的標準型式為和之乘積(product-of-sums)。我們再次考慮

(1-104)式,同時希望將式中的 AB 和 BC 這兩項轉變為個別變數之和。

於是利用(1-83)式代入(1-104)式可得

))()()((

))((CABABDAD

BCAABDY++++=

++=

(1-115)

注意此例中每一項乘積因子僅包含四個變數中的兩個變數之和。 所謂擴展的和之乘積(expanded product-of-sums form)則在每一項乘積

因子中均會出現所有的變數。讓我們重新考慮表 1-4,並且注意在第 2和 6 列中 0=Y 。對於第 2 列而言,我們可以得到一項( CBA ++ )的因

子,也就是說,當 A = 0 且 B = 0 且 C = 1 時,則 Y = 0。同理第 6 列所

對應的因子為 )( CBA ++ 。同時注意當這兩個因子中有任何一者是 0,

也就是有某一個因子中的每一項都是 0,則 Y 必為 0。因此,這兩項因

子必須要乘在一起,導致一擴展的和之乘積的型式:

1.10 邏輯函數 51

A

D

BD

DC

Y

DA

DB

DC

圖 1-30 對應(1-117)式的邏輯電路。

))(( CBACBAY ++++= (1-116)

上式三個乘積因子中的每一項稱為最大項(maxterm)。此外,讀者也可以

自行檢驗(1-116)式是否滿足表 1-4 中的每一列。

1.10.7 標準式的合成

考慮(1-109)式中的乘積之和表示式:

DCDBDAY ++= (1-117)

此式所對應的邏輯電路顯示於圖 1-30。由此圖可看出它是由三個(乘積項

的數目) AND 閘其後連接一個 OR 閘所組成的,這種電路稱之為二級

AND-OR 電路。很明顯可得知所有的乘積之和方程式均可以這種類似的

二級 AND-OR 電路來實現。 若我們考慮的是和之乘積表示式,則所獲得的電路將是一二級

OR-AND 電路,其中第一級是 OR 閘和第二級 AND 閘。

1.10.8 僅使用 NAND 或 NOR 的運算與合成

事實上為了方便起見,在邏輯電路的設計上往往是僅使用一種型式的邏

輯閘,也就是 NAND 閘或是 NOR 閘。且尤其是針對以 IC 型式出現的

邏輯電路特別如此。 首先讓我們考慮僅僅使用 NAND 閘。例如,利用一個 NAND 閘其後

跟隨一反相器即可得到 AND 運算,見圖 1-31。因為

ABAB = (1-118)

52 第 1 章 電子電路的基本概念

AB

ABAB

圖 1-31 僅使用 NAND 閘來執行 AND 運算。

BABABAY +=+== (1-119)

對應之電路見圖 1-32。 接下來我們考慮較複雜的表示法,例如:

CABY += (1-120)

利用狄摩根定律可得,

))(( CABCABY =+= (1-121)

因此

B

A

BABA +=

A

B

圖 1-32 僅使用 NAND 閘來執行 OR 運算。

YB

AA

B

C

CA

圖 1-33 僅使用 NAND 閘來實現 CABY += 。

1.10 邏輯函數 53

))(( CABYY == (1-122)

對應之電路見圖 1-33。 以下我們將顯示如何利用非常簡單的步驟將二級 AND-OR 或是

OR-AND 電路轉變為一僅使用 NAND 或是僅使用 NOR 的電路。例如,

針對此乘積之和的表示式:

BACABAY ++= (1-123)

此式所對應的電路見圖 1-34(a)。接著,將狄摩根定律代入(1-123)式可得

))()(( BACABABACABAY =++= (1-124)

因此

))(()( BACABAYY == (1-125)

僅使用 NAND 閘來實現上式所對應的電路圖見圖 1-34(b),且此電路與

圖 1-34(a)中者完全對等。 接下來,我們將(1-123)式改寫為和之乘積的表示式: ))(( CBABAY +++= (1-126)

此式所對應的電路見圖 1-35(a)。接著,將狄摩根定律代入(1-126)式可得

)()())(( CBABACBABAY ++++=+++= (1-127)

所以

)()( CBABAYY ++++== (1-128)

僅使用 NOR 閘來實現上式所對應的電路圖見圖 1-35(b),且此電路與圖

1-35(a)中者完全對等。

(a)

A

B

Y

BA

CA

BA

B

A

A

C

(b)

A

B

Y

B

A

A

C

BA

CA

BA

圖 1-34 (a)二級 AND-OR 電路,(b)僅使用 NAND 閘的電路,此電路與(a)中者完全等效。

54 第 1 章 電子電路的基本概念

(a)

AB

ABC

Y

A+B

CBA ++

(b)

AB

ABC

Y

BA +

CBA ++

圖 1-35 (a)二級 OR-AND 電路,(b)僅使用 NOR 閘的電路,此電路與(a)中者完全等效。

結論是:如欲設計一僅使用 NAND 的電路,可將邏輯方程式先轉變為

乘積之和的型式;接著畫出所對應的二級 AND-OR 電路, 後將所有的

閘轉變為 NAND 即可。此外,如欲設計一僅使用 NOR 的電路,可將邏

輯方程式先轉變為和之乘積的型式;接著畫出所對應的二級 OR-AND 電

路, 後將所有的閘轉變為 NOR 即可。

例題 3

簡化邏輯函數 BAABBAY ++= 。

解:

布林代數運算如下:

AB

BABBABBAAABY

+=+=+=

++=)1(

)(

例題 4

若 BABAY += ,試用布林定理求出Y 的表示法。

解:

布林代數運算如下:

BAABBAABBBABABAA

BABABABA

BABABABAY

+=+++=+++=

++=++=

=+=

00))(())((

))((

與(1-103)式吻合。

1.11 反相器 55

1.11 反相器

1.11.1 基本概念

邏輯反相器 (logic inverter)基本上是一壓控開關 (voltage- controlled switch),其符號與示意圖見圖 1-36。當 Iv 為低態(0V 附近)時,開關為開

路(open),因此輸出電壓 Ov 為高態(等於供應電壓 DDV )。當 Iv 為高態(超過了某一定的臨界電壓)時,開關為閉路(closed),因此輸出電壓 Ov 為低

態(0V),見圖 1-37(a)。顯然此電路執行了邏輯反相的作用。 實際的反相器與圖 1-36 中的觀念性電路有三點不同。第一,反相器的

輸入端通常會經由驅動元件中汲取部份電流。第二,開關並非理想;特

別是當開關為閉路時,本身並非短路,而是具有一導通電阻(on resistance) onR 以及一額外的電壓降 offV [稱為偏移電壓 (offset voltage)],見圖

1-37(b)。其結果將造成開關在導通狀態時, Ov 並非為零。第三,反相器

的切換並非瞬間完成,介於輸入訊號加入至輸出訊號產生變化之間必然

存在一延遲時間(delay time)。

1.11.2 電壓轉換特性

理想的轉換特性 圖 1-38 顯示一在電源供應器 DDV 操作下理想反相器的轉換特性。如圖所

VDD

vI vO

(a)

(b)

vI

R

vO

VDD

圖 1-36 邏輯反相器的符號與示意圖。

56 第 1 章 電子電路的基本概念

(a)

00 T/2 T

vO

VDD

t

00 T/2 T

vI

VDD

t

(b)

Ron

Voff

vO

R

VDD

圖 1-37 (a)理想反相器輸入與輸出訊號間之關係,(b)一實際開關在導通狀態的等效電路。

示,此反相器表現一切換臨界電壓(switching threshold) 2DDth VV = 。在

thV 之下的輸入訊號視為低態,所對應的輸出電壓等於 DDV 。在 thV 之上的

輸入訊號視為高態,所對應的輸出電壓等於 0V。注意此反相器對輸入

訊號電壓值發生錯誤的容忍度相當高。 實際的轉換特性 圖 1-38(b)顯示一實際反相器的轉換特性。注意,現在我們無法再清楚定

義所謂的切換臨界電壓,且在高和低兩態之間存在一變遷區域(transition region)。另外,高態輸出( OHV )和低態輸出( OLV )分別不再等於 DDV 和 0V。

此圖中可以被區分為三個區域,首先是低輸入區: ILI Vv < ,再者為數位

禁區: IHIIL VvV ≤≤ , 後是高輸入區: IHI Vv > 。

因為轉換特性在這些操作區之間的變化並不是很急劇,所以習慣上將

電壓特性曲線上斜率正好等於 1− 的那一點分別定義為 ILV 和 IHV ,見圖

1-38(b)。其中 ILV 和 IHV 分別代表 ILV 大可允許的邏輯 0 之值以及 IHV

小可允許的邏輯 1 之值。 雜訊邊限 再次考慮圖 1-38(b)的轉換特性。因為在一個邏輯系統中,一個閘(gate)

電壓特性曲線上斜率正好

等於−1 的那一點分別定

義為 VIL和 VIH。

1.11 反相器 57

(a)

vO

VDD

0VDD

vI

2DD

thVV =

(b)

vO

VOH

NML

VOL

0 VOL VIL VIH VOH vI

NMH

1−=斜率

1−=斜率

A

B

圖 1-38 (a)理想反相器的轉換特性,(b)實際反相器的轉換特性。

電壓

VOH

NMH

NML

VIH

VIL

VOL

圖 1-39 邏輯準位圖,並顯示雜訊邊限。

通常需要再驅動下一個閘。因此我們考慮輸出為高態 OHV 的一個閘驅動

另一個完全相同的負載閘,則負載閘所指定的 小輸入邏輯 1 的值是

IHV 。由此得知 )( IHOH VV − 代表一安全邊限,也就是只要疊加在驅動閘輸

出( OHV )的雜訊其振幅不超過 )( IHOH VV − ,則此系統即能正常地操作。因

58 第 1 章 電子電路的基本概念

此我們將此差值稱為 “高” 雜訊邊限( “high” noise margin),以 HNM 表

示;即

IHOHH VVNM −=Δ (1-129)

同理,“低” 雜訊邊限( “low” noise margin) LNM 定義為

OLILL VVNM −=Δ (1-130)

以邏輯準位圖可顯示雜訊邊限之意義,見圖 1-39。

1.1 圖 1-2 電路中,令 Ω== k141 RR , Ω== k232 RR , V3=sV 。計算 (a) 電流 1I 、 2I 、 3I 、 4I 。 (b) 電源 sV 的供應功率 sP 。 (c) 四電阻上的功率散逸 1DP 、 2DP 、 3DP 和 4DP 。

(d) 由功率觀點證明能量不滅原理。

1.2 若將附圖之 3R 改為電壓控制電流源(voltage controlled current source)

1Vgm ,其中 mg 稱為轉導(transconductance),其值為 VmA5.0=mg ,

並重繪如下圖。 (a) 計算 1V 和 1Vgm 。 (b) 電流源的散逸功率 3DP 。

(c) 討論是否滿足能量不滅原理。

+V2

I2I1

R2=2kΩ

R1=1kΩ

−+ V1

gmV1

R4=1kΩ

VS=3V

習題 1.2 附圖。

1.3 參考圖 1-7 的電源轉換電路, (a) 令圖 1-7(a)中 =SV 2V, Ω= k1SR ,問圖 1-7(b)中 SI 和 PR 分別為

何?

§ 練習題

練習題 59

(b) 令圖 1-7(b)中 =SI 3mA, Ω= k2PR ,問圖 1-7(a)中 SV 和 SR 分別

為何?

1.4 考慮一放大器,其電壓轉換特性曲線如附圖: (a) 計算線性區的電壓增益 vA 。

(b) 輸入一振幅 100mV,頻率為 1kHz 的正弦波,則輸出訊號表示為

)()( tvAtv IvO = 是否正確? (c) 繪出輸出波形 )(tvO 。

−5V

0.05V−0.05V

vI

5V

vO

習題 1.4 附圖。

1.5 有一放大器,其轉換函數為 )100(tan 1IO vv −= ,其中 Ov 與 Iv 之單位

均為伏特,計算 (a) 線性區電壓增益 vA 之表示式。 (b) 計算當 =Iv 10mV 和 =Iv 30mV 時的電壓增益。 [提示: 121 )1(tan −− += xdxxd ]

1.6 考慮一 B 類輸出級電路,令供應功率 =SP 10W,傳送至負載功率

=LP 6W,參考(1-45)式並忽略 IP ,計算 (a) 功率轉換效率η 。 (b) 功率散逸 DP 。

1.7 (a) 考慮一電阻分壓電路,如附圖(a),試寫出 Ov 與 Sv 之關係式。 (b) 現在圖(a)的a 、b 兩點間加入一緩衝電路(buffer),其中 iR 代表

輸入電阻(input resistance), oR 代表輸出電阻(output resistance),μ稱為開路電壓增益(open-circuit voltage gain)。試寫出 Ov 與 Sv 之關

60 第 1 章 電子電路的基本概念

係式。 (c) 試問在什麼條件下會產生 SO vv = 。

(a)

vS

RS a b

RL

vO

−+

(b)

vS

RS a

+Vi Ri

b

RL

vO

μ vi

Ro

−+ −+

習題 1.7 附圖。

1.8 考慮附圖所示之 STC 低通 RC 網路,

(a) 以 s-區域分析,推導轉換函數 )()()( sVsVsH ioΔ= 。

(b) 如附圖(b)所示輸入一高度為 V 之步階函數,證明輸出波形 )(tvO

為 )1()( τtO eVtv −−= ,其中 RCΔ=τ ,即時間常數。

(c) 證明 )(tvO 在原點的微分值為 τV 。

(a)

C

R

+

vI

+

vO

(b)

τ t

VvO (t)

t

VvI (t)

習題 1.8 附圖。

參考書目 61

1.9 考慮一單一極點低通放大器,令直流增益為 40dB,3dB 轉角頻率為

100 kHz。 (a) 繪出大小響應波德圖。 (b) 以 dB 表示的 =f 400 kHz 和 =f 4 MHz 時的增益值。

1.10 有一低通濾波電路,其轉換函數為

pffj

jfH+

−=1

10)(2

,其中

=Pf 1.5kHz。 (a) 當 Tff = 時, 1)( =TjfH ,問 Tf 值為何? (b) 計算在 Tf 處,此轉換函數所提供的相位移θ 。

1.11 利用布林定理證明(1-123)式和(1-126)式是相等的。

1.12 (a) 考慮一 TTL 數位電路,其轉換特性臨界點數值分別為

=OHV 3.8V, =OLV 0.1V, =ILV 0.5V, =IHV 1.4V。 (b) 考慮一 ECL 數位電路,其轉換特性臨界點數值分別為 =OHV 3V,

=OLV 2.4V, =ILV 2.585V, =IHV 2.815V。 試分別計算上述兩電路之雜訊邊限 HNM 和 LNM 。

參考書目

1. J. W. Nilsson and S. Riedel, “Electric Circuits,” 9th ed. Prentice Hall, 2010.

2. H. W. Bode, “Network Analysis and Feedback Amplifier Design,” Princeton, NJ; D. Van Nostrand Co., 1945.

3. W. H. Hayt, and J. E. Kemmerly. “Engineering Circuit Analysis,” 4th ed. New York; McGraw-Hill Book Co., 1986.

4. E. S. Kuh, and R. A. Rohrer. “Theory of Linear Active Networks,” San Francisco: Holden-Day, Inc., 1967.

5. A. S. Sedra and K. C. Smith, “Microelectronic

Circuits,” 6th ed. Oxford University Press, 2009.

6. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

7.R. T. Howe and C. G. Sodini, “Microelectronics: An Integrated Approach,” Prentice-Hall International, Inc., 1996.

8. D. E. Hodges, and H. G. Jackson. “Analysis and Design of Digital Integrated Circuits,” McGraw-Hill Book Company, New York, 1983.

7

運算放大器電路

在類比電路系統中,運算放大器可說是應用最廣泛也

最重要的電路方塊。所謂運算,是指藉由此放大器可

對類比訊號執行各類運算,包括加法,積分,微分,

濾波,整流,放大,阻抗轉換等。首先,我們將介紹

運算放大器的基本特性與理想的等效電路模型。接下

來,主要的工作就在以等效電路模型分析各類型的電

路。最後,我們再考慮非理想特性對電路表現所造成

的衝擊,例如延遲率和直流偏移電壓等。 2.1 運算放大器的簡介

2.2 反相放大器

2.3 非反相放大器

2.4 反相組態的應用

2.5 差動放大器

2.6 運算放大器的其它應用

2.7 積體電路運算放大器的簡介

2.8 頻率響應

2.9 大訊號操作

2.10 共模排斥比

2.11 輸入和輸出電阻

2.12 直流偏移電壓和偏壓電流

2.1 運算放大器的簡介 63

2.1 運算放大器的簡介

2.1.1 電路符號

算放大器(operational amplifier,簡稱 op amp)具有三個端點:兩個

輸入端和一個輸出端。圖 2-1(a)顯示一代表 op amp 的電路符號,

端點a 和b 為輸入端,端點c 為輸出端。事實上,此放大器需要直流(簡

稱 dc)電源才能工作,而大部份的 IC op amp 需要兩個 dc 電源供應器,

如圖 2-1(b)和(c)中我們顯示兩個作為電池的 dc 電源供應器,兩者並具有

一共同接地(common ground)。注意在 op amp 電路中的參考接地點就是

這兩個電源供應器的共同端點;也就是,此 op amp 的包裝上沒有任何

端點是接地的。

+

b

ac

(a) (b)

+

V −

V +

cb

a

d

e

(c)

+

V +

cb

a

d

e V −

圖 2-1 (a)運算放大器的電路符號,(b)(c)連接至 dc 電源供應器的運算

放大器。

注意在 op amp 電路中的

參考接地點就是兩電源供

應器的共同端點。

64 第 2 章 運算放大器電路

b

a

c

vb

0

va

0+

)( ba vvA −−+

−+

−+

圖 2-2 一理想運算放大器的等效電路。

除了此三個端點和兩個電源供應器端點之外,一個 op amp 可能還有

其他具有特殊用途的端點。這些其他的端點包括了頻率補償(frequency compensation)端點和做偏移消除(offset nulling)的端點。

2.1.2 理想特性

現在我們考慮一理想 op amp 的特性,可參考圖 2-2。分別介紹如下:一

個 op amp 的主要功能是用來感知出現在兩輸入端電壓訊號間的差額(也就是, ba vv − 這個量),並將此量乘以 A後造成一 A ( ba vv − )的電壓出現

在輸出端c 。

無窮大輸入電阻 理想的 op amp 不會汲取任何輸入電流;也就是進入端點a 的訊號電流

和進入端點b 的訊號電流均為零。換言之,一理想 op amp 的輸入阻抗

是無窮大。 零輸出電阻 至於輸出端c 則被假設成一理想電壓源(ideal voltage source)。也就是,

介於端點c 和接地點間的電壓必等於 A ( ba vv − ),與負載阻抗的大小無

關。換言之,一理想 op amp 的輸出阻抗被假設為零。 綜合以上所述,我們可以得到一等效電路模型,如圖 2-2 所示。注意

輸出與 av 為同相位(in phase),與 bv 則為反相位(out of phase)。基於此原

因,輸入端b 被稱為反相輸入端(inverting input terminal)並以一“−”號表

示,而端點a 則被稱為非反相輸入端(noninverting input terminal)並以一

輸出端c 為一理想電壓

源。也就是,介於端點c

和接地點間的電壓等於

A(va – vb),與負載的大小

無關。

2.2 反相放大器 65

“+”號表示。同時也注意 op amp 為一差額輸入和單端輸出(differential −input single−ended−output)放大器。 完美的共模排斥 由以上描述可以看出 op amp 只對差額訊號 ba vv − 有反應,因此它完全忽

視兩輸入間共同的訊號部份。也就是說,若 == ba vv 1V,則輸出將為零。

我們稱此特性為共模排斥(common-mode rejection),因此一理想的 op amp 具有一完美的共模排斥。再者,增益 A 被稱為差動增益(differential gain),理由已很明顯。 無窮大的頻寬 理想 op amp 具有一增益 A,且此增益在零頻率至無窮大頻率之間均保持

常數。也就是說,理想的 op amp 將以相同增益放大任何頻率的訊號。 無窮大的差動增益

理想 op amp 必須具有一非常大甚至無窮大的增益 A。接著讀者可能要

問:若增益 A是無窮大,那麼我們要如何使用 op amp 呢?答案非常簡

單:在許多的應用上,op amp 將不會使用開迴路組態 (open-loop configuration)。反而我們將要外加回授(feedback)以關閉繞著 op amp 的

迴路,這點將在下一節中詳細討論。

2.1.3 直接耦合的觀念

op amp 具有一重要特性就是它們是直接耦合元件(direct-coupled devices)或稱 dc 放大器,其中 dc 代表直接耦合(direct-coupled)。因為一直接耦合

放大器可以放大頻率低至零的訊號,所以 dc 又可以代表直流(direct current)。op amp 是直接耦合元件這個事實將為我們帶來許多重要的應

用。但不幸的,直接耦合的特性也會造成一些嚴重的問題,我們將在後

面討論。

2.2 反相放大器

考慮圖 2-3(a)中之電路,它包括了一個 op amp 和兩個電阻 1R 和 2R 。電

阻 2R 由 op amp 的輸出端(端點c )連接回反相或負輸入端(端點b )。因

此我們說利用 2R 產生了負回授(negative feedback);反之,若 2R 被連接

在端點c 和a 之間,則稱為正回授(positive feedback)。同時注意 2R 亦關

閉了圍繞 op amp 的迴路。除了 2R 以外,我們還將端點a 接地且在介於

端點b 和輸入訊號源之間接上一電阻 1R 。整個電路的輸出在端點c 被

一直接耦合放大器可以放

大頻率低至零的訊號,所

以 dc 又可以代表直流。

op amp 為一差額輸入和

單端輸出之放大器。

66 第 2 章 運算放大器電路

取出。而端點c 當然是取出輸出訊號最方便的一點,因為在那裡的阻抗

準位(impedance level)為零(理想情況)。因此電壓 Ov 將與供應至一負載阻

抗上的電流值無關。

2.2.1 理想情況

閉迴路增益 現在我們欲分析圖 2-3(a)的電路以求出閉迴路增益(closed -loop gain) G,定義為

I

O

vvG Δ= (2-1)

假設 op amp 為理想,分析如下:增益 A非常大(理想為無窮大)。若我

們假設這個電路正在“工作中”且在端點c 產生一有限的輸出電壓,則介

於 op amp 兩輸入端間的電壓必須非常小。也就是,

Avvv O

ba =− 0≅ (2-2)

即 bv ≅ av (2-3)

因為增益 A趨近於無窮大,所以 bv 趨近於 av 。可以說兩輸入端 “在電位

上相互追蹤”,或者說在兩輸入端之間存在一 “虛短路” (virtual short circuit)。由於端點a 正好接地;因此 0=av 且 0=bv 。此時我們稱端點b為虛接地(virtual ground)也就是說, bv 雖為零電壓但實際上並沒有接地。 參考圖 2-3(b),流經電阻 1R 的電流 1i 為

+

b

a

c

R2

vOvI

R1

−+

(a) (b)

+

R2

vI

R1

+0

0V

Rout=0

i1

vO

−+1RRin =

圖 2-3 (a)反相閉迴路組態,(b)分析過程。

兩輸入端 “在電位上相互

追蹤”,或者說在兩輸入端

間存在一 “虛短路”。

2.2 反相放大器 67

1

1 Rvvi bI −= ≅

1RvI (2-4)

因為理想的 op amp 具有一無窮大的輸入阻抗,所以不會汲取任何電流。

因此 1i 必須流經電阻 2R 而到達低阻抗端點c 。輸出電壓 Ov 為

21Rivv bO −= 21

0 RRvI−= (2-5)

因此,

Δ=G1

2

RR

vv

I

O −= (2-6)

此即閉迴路增益。圖 2-3(b)顯示分析的過程。 因此我們發現閉迴路增益僅與兩個電阻 1R 和 2R 的比值有關。而負號

代表此閉迴路放大器提供訊號反轉(signal inversion),也因此這個組態稱

為反相組態(inverting configuration)。 閉迴路增益完全由外界的被動元件決定,這是非常有趣的。它代表在

理想情況下,閉迴路增益與 op amp 的增益無關。這一點已經強烈顯示

出負回授的特性即訊號由一具有非常大增益 A 的放大器出發,再經由

外加負回授可得到一閉迴路增益 12 RR ,其中閉迴路增益 12 RR 遠小於

A但它很穩定且其值可預測。也就是說,利用負回授可獲得增益的精確

性和穩定性。 輸入電阻 圖 2-3(a)中閉迴路反相放大器的輸入電阻等於 1R ,這可由圖 2-3(b)得知,

1

1

1

R

Rvv

ivR

I

IIin ===Δ (2-7)

如果希望使 inR 很高,則必須選擇一大的 1R。但是,若同時希望增益 12 RR也很高,則 2R 可能變為一極大且不實際的值。因此結論是低輸入電阻是

反相組態的一項缺點。

2.2.2 有限開迴路增益的效應

現在考慮 op amp 的開迴路增益為有限值的情況,分析見圖 2-4。若輸出電

壓為 Ov ,則 op amp 兩輸入端間的電壓等於 AvO ;又因為非反相輸入端是

接地的,因此反相輸入端的電壓即為 AvO− 。故流經電阻 1R 上的電流 1i 為

閉迴路增益完全由外界的

被動元件決定。

低輸入電阻是反相組態的

缺點。

68 第 2 章 運算放大器電路

+

R2

vI

R1 0

11 R

vvi bI −

=

vOA

−+vb −= v

AO

圖 2-4 反相組態中考慮 op amp 的有限開迴路增益之分析。

11

1 RA

vv

RA

vv

i

OI

OI +

=⎟⎟⎠

⎞⎜⎜⎝

⎛−−= (2-8)

由於 op amp 無窮大的輸入阻抗遂強迫電流 1i 全部流經 2R ,因此輸出電

壓為

21RiAvv O

O −−= 21

)( RR

AvvAv OIO ⎟

⎞⎜⎝

⎛ +−−= (2-9)

整理後可得閉迴路增益G ,

⎟⎟⎠

⎞⎜⎜⎝

⎛++

−==Δ

1

2

1

2

111RR

A

RR

vv

GI

O (2-10)

注意當 A趨近於∞ ,G 趨近於理想值 12 RR− 。此外,由(2-10)式可看出

若希望使閉迴路增益G 受開迴路增益 A的影響降至最低,則我們必須選

擇 1R 和 2R 使之滿足

ARR <<+

1

21 (2-11)

此時,閉迴路增益 G 將近似於 12 RR− 。

例題 1

若吾人將圖 2-3 反相組態電路中的 2R 以一T -型網路取代,試計算其閉

迴路增益 IO vv 。

2.2 反相放大器 69

+vO

vI

1kΩ

2kΩ

20kΩ20kΩ

−+

(a) (b)

+vO

0

R1

R2

i1

R3

A

R4

i3

i2

−+vI

圖 2-5 例題 1:(a)電路圖,(b)(a)中電路的分析過程。

解:反相輸入端的電壓 bv 為

0=∞

−=

−= OO

b

vAv

v

這裡我們已經假設此電路正在 “工作中” 且產生一有限輸出電壓

Ov 。因此電流 1i 為

111

1

0Rv

Rv

Rvv

i IIbI =−

=−

=

現在我們要決定在節點A 處的電壓:

II

bA vRR

RRv

Rivv1

22

121 0 −=−=−=

電流 2i 為

IA v

RRR

Rvi

31

2

32

0 =−=

電流 3i 為

II v

RRR

Rviii

31

2

1213 +=+=

最後輸出電壓 Ov 為

431

2

11

243 Rv

RRR

Rvv

RRRivv I

IIAO ⎟

⎞⎜⎝

⎛ +−−=−=

因此電壓增益為

70 第 2 章 運算放大器電路

24011

2

3

2

1

4 −=−⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+−=

RR

RR

RR

vv

I

O

2.3 非反相放大器

2.3.1 電路分析

我們將研究的第二種閉迴路組態,見圖 2-6(a)稱為非反相組態。這裡輸

入訊號 Iv 被直接加在 op amp 的正輸入端。決定此電路閉迴路增益( IO vv )

之分析見圖 2-6(b)。假設 op amp 是理想的(無窮大增益,兩輸入端之間

存在一虛短路),因此差額輸入訊號在 ∞=A 條件下為

0==−Avvv O

ba (2-12)

(a)

+

b

a

c

R2

vO

R1

vI −+

+

R2

R1

vI −+

vO

(b)

11

0Rv

Rv II =−

+

vI

vO

−+

(c)

圖 2-6 (a)非反相組態,(b)非反相組態的分析,(c)電壓追隨器。

2.4 反相組態的應用 71

因此輸出電壓為

21

RRvvv I

IO ⎟⎟⎠

⎞⎜⎜⎝

⎛+= (2-13)

得閉迴路增益 G 為

1

21RR

vv

GI

O +==Δ (2-14)

接著我們對非反相組態的操作進一步的討論。在負回授路徑上的分壓

器(voltage divider)將使得部份的輸出電壓出現在 op amp 的反相輸入端

bv ;也就是,

⎟⎟⎠

⎞⎜⎜⎝

⎛+

=21

1

RRRvv Ob (2-15)

由於 op amp 無窮大的增益和導致的虛短路特性將使得此電壓等於外加

在正輸入端的電壓;因此

IO vRR

Rv =⎟⎟⎠

⎞⎜⎜⎝

⎛+ 21

1 (2-16)

非反相組態的增益是正的因此稱為非反相(noninverting)。此閉迴

路放大器的輸入阻抗在理想情況下為無窮大,主要是因為沒有電流流入

op amp 的正輸入端。高輸入阻抗的特性為非反相組態的一主要優點。

2.3.2 電壓追隨器

由以上的特性得知,吾人可以利用此電路作為一緩衝放大器(buffer amplifier)以連接一高阻抗源至一低阻抗負載。而在許多應用上緩衝放大

器並不需要提供任何電壓增益;反而它主要是作為一阻抗轉換器

(impedance transformer)或是一功率放大器。在這些情況下我們可以令

02 =R 且 ∞=1R 即可得到一個單位增益放大器(unity-gain amplifier),見

圖 2-6(c)。此電路一般稱為電壓追隨器(voltage follower)。在理想情況下,

此電壓追隨器的三重要特性為 IO vv = , ∞=inR ,和 0=outR 。

2.4 反相組態的應用

我們使用兩個阻抗 1Z 和 2Z 取代原有反相組態中的兩個電阻 1R 和 2R ,見

圖 2-7。則閉迴路轉換函數(closed-loop transfer function) io VV 為

高輸入阻抗為非反相組態

的一主要優點。

72 第 2 章 運算放大器電路

+

Z2

Z1

+

Vi

+Vo

圖 2-7 在回授迴路和輸入處具有一般阻抗的反相組態。

1

2

ZZ

VV

i

o −= (2-17)

2.4.1 反相積分器

考慮一特例如下: RZ =1 和 sCZ 12 = 。於是,

sCRV

V

i

o 1−= (2-18)

其中對實際頻率而言, ωjs = ,則

CRjV

V

i

o

ω1−=

ωωj

0−= (2-19)

此轉換函數即對應積分;也就是, )(tvO 將是 )(tvI 的積分。其中 0ω 定義

為積分時間常數之倒數,

RC1

0 =ω (2-20)

若以 dB 表示可寫成

⎟⎟⎠

⎞⎜⎜⎝

⎛−=

0

log20)dB(ωω

i

o

VV (2-21)

上式代表在頻譜上為一直線,其斜率為 20− dB/decade。顯然,當 0ωω =時, dB0)dB( =io VV ,見圖 2-8(b)。

另一方面,在時域(time-domain)中為了了解這個問題,重新考慮圖

2-8(a)的電路。其中輸出電壓 )(tvO 為

∫∫ −=−= dttvRC

dtiC

tv IO )(11)( 1 (2-22)

2.4 反相組態的應用 73

(a)

+

C

+vO(t)

+vI (t)

R

i1

(b)

(dB)

log20i

o

VV

−20dB/decade

ωω00

圖 2-8 (a)反相積分器,(b)理想積分器之頻率響應。

若考慮 )0( =tvO 之初值問題,則

∫ ′′−==t

IOO tdtvRC

tvtv0

)(1)0()( (2-23)

因此 )(tvO 為 )(tvI 的時間積分,電壓 )0( =tvO 則是此積分過程的起始條

件,而時間常數 CR 稱為積分時間常數(integration time constant)。因為在

轉換函數中出現了一負號,所以我們稱此積分電路為反相的;習慣上也

稱為米勒積分器(Miller integrator)。 圖 2-8(b)顯示一米勒積分器的大小響應。注意在頻率為零處,閉迴路

增益是無窮大的。也就是說,在 dc 狀態下,op amp 操作成一開迴路(電容對 dc 而言為開路)。

2.4.2 反相微分器

考慮另一特例,即 sCZ 11 = 和 RZ =2 。於是,

sCRVV

i

o −= (2-24)

或者以實際頻率表示,

CRjVV

i

o ω−=0ω

ωj−= (2-25)

或以 dB 表示,

⎟⎟⎠

⎞⎜⎜⎝

⎛=

0

log20)dB(ωω

i

o

VV

(2-26)

74 第 2 章 運算放大器電路

+

C

+vO(t)

+vI (t)

R

(a) (b)

+20dB/decade

ωω0

(dB)

log20i

o

VV

圖 2-9 (a)微分器,(b)一微分器的頻率響應。

顯示在頻譜上為一直線,其斜率為 20+ dB/decade,見圖 2-9(b)。另一方

面,在時域的計算上,讀者可自行證明此電路執行微分運算,即

dt

tdvRCtv IO

)()( −= (2-27)

此微分器可以說是一個 “雜訊放大者” (noise magnifier)。若在輸入處接

收干擾訊號而使得 )(tvI 產生一驟變,則輸出將產生一尖峰(spike)。代表

使用時很容易受到高頻雜訊的影響,故實際上我們儘量避免使用此微分

器電路。

2.4.3 濾波器

圖 2-10(a)為一低通濾波器(low-pass filter)電路,經推導可得

H

sRR

sG

ω+

−=

1)( 1

2

H

sK

ω+

−=1

(2-28)

其中 12 RR− 代表低頻或直流增益,而高 3dB 頻率(upper 3dB frequency) CRH 21=ω 。此外,取其大小值可得

2

1

)(

⎟⎟⎠

⎞⎜⎜⎝

⎛+

=

H

KjG

ωω

ω (2-29)

2.4 反相組態的應用 75

+

C

Vo

Vi

R1

R2

(a)

+Vo

Vi

R1

R2

C

(b)

圖 2-10 (a)低通濾波器電路,(b)高通濾波器電路。

令 Tωω = 時, )( TjG ω 衰減至 1 (0dB),可解出

HT Kωω = (2-30)

其中 Tω 稱為單位增益頻率(unity-gain frequency),更深一層的意義為增益

頻寬乘積(gain-bandwidth product)。 圖 2-10(b)為一高通濾波器(high-pass filter)電路,經推導可得

s

RR

sGLω+

−=

1)( 1

2

(2-31)

其中 12 RR− 代表高頻增益,而低 3dB 頻率 (lower 3dB frequency) CRL 11=ω 。

2.4.4 反相加法器

圖 2-11 的電路為反相組態的最後一個應用,它是一個加法器電路。經由

歐姆定律可得 111 Rvi = ,…, nnn Rvi = 。所有電流加在一起產生電流

sumi ,它將被強迫流經 fR ,則輸出電壓 Ov 為 fsum Ri− ,即

⎟⎟⎠

⎞⎜⎜⎝

⎛+++−= n

n

fffO v

RR

vRR

vRR

v L22

11

(2-32)

也就是,輸出電壓為輸入訊號的一個加權和;所以這種電路又稱為加權

式加法器(weighted summer)。

ωT稱為單位增益頻率,更

深一層的意義為增益頻寬

乘積。

76 第 2 章 運算放大器電路

+vO

RfR1

v1

isumR2

v2

i1

i2

Rnvn

in

圖 2-11 一反相加法器。

例題 2

考慮圖 2-10(a)之低通濾波器電路,設計此電路使其直流增益為 40dB,3dB 頻率為 1.5 kHz,和輸入電阻為 Ωk1 。 (a)計算增益衰減至 0dB 之頻率 Tf , (b)計算在 Tff = 處,濾波器所提供之相移 )( Tjfθ 。

解: (a) ==⋅==CRCRR

RKff dBT121

23 2

12

1ππ

150 kHz

(b) °≅°−°=−°= − 905.8918010tan180)( 21Tjfθ

2.5 差動放大器

2.5.1 重疊原理

如圖 2-12(a)所示為一差動放大器(differential amplifier)。分析這個電路有

很多方法,其中最簡單的就是利用重疊原理(principle of superposition)。首先令 2v 為零,然後求出所對應的輸出電壓 1Ov ,見圖 2-12(b)。由圖

2-12(b)可得出

11

21 v

RRvO −= (2-33)

接著我們令 1v 為零,並計算所對應 2Ov ,見圖 2-12(c)。由此圖可得

⎟⎟⎠

⎞⎜⎜⎝

⎛+

+=

1

2

43

422 1

RR

RRRvvO (2-34)

2.5 差動放大器 77

(a)

+

vO

R1

R2

R4

R3

v1

v2

+

(b)

+vO1

R1

R2

R4

v1

R3

1

1

Rv

+vO2

R1

R2

R4

R3

v2

(c) (d)

+vO

R1

R2

R2

R1

i12RRin =

−+12 vv −−

+0V

i

圖 2-12 (a)一差動放大器,(b)(c)利用重疊原理分析,(d)輸入電阻的計算。

重疊原理告訴我們輸出電壓 Ov 等於 1Ov 和 2Ov 的和:

243

4

1

21

1

2 1 vRR

RRRv

RRvO ⎟⎟

⎞⎜⎜⎝

⎛+⎟⎟

⎞⎜⎜⎝

⎛++−= (2-35)

2.5.2 差動放大器及其應用

接著讀者不難發現,若滿足

4

3

2

1

RR

RR = (2-36)

則此電路為一差動放大器。將(2-36)式代入(2-35)式得

)()( 211

212

1

2 vvRRvv

RRvO −−=−= (2-37)

78 第 2 章 運算放大器電路

很明顯為一差動放大器,其增益為 12 RR 。

差動放大器的應用很廣泛,最值得注意的是用於儀器系統的設計。譬

如說,有一轉送器(transducer)在它的兩個輸出端產生一相當小的訊號,

如 1mV。但是,在每條接線和接地點之間可能存在非常大的接收干擾,

如 1V。此時所需的放大器必須要能排斥此大的干擾訊號(它可視為在兩

條線上都存在的一種共模訊號),並且放大此小小的差動訊號。建議對這

部分有興趣的讀者可詳閱參考書目第 5 章 spice 模擬,將可對差動放大

器拒絕共模放大差模的特性有更深刻的體認。

2.5.3 輸入電阻及儀表放大器

最後我們希望求出介於兩輸入端之間所看到的電阻。將 4321 RRRR = 的

條件置入後的電路見圖 2-12(d)。為簡化問題,我們令 13 RR = 和 24 RR = 。 輸入差動電阻(input differential resistance) inR 被定義為差動放大器介

於兩輸入端之間所看到的電阻:

i

vvRin12 −

=Δ (2-38)

寫下一迴路方程式得(注意虛短路)

iRiRvv 1112 0 ++=− (2-39)

因此

12RRin = (2-40)

注意若此放大器想要具有大的差動增益,則 1R 必須要小,因此輸入電阻

也相對減小,為此電路的一個缺點。 欲改進上述問題,可使用一緩衝電路作為前級,構成一儀表放大器

(instrumentation amplifier),見圖 2-13。分析本電路可得到輸出訊號與差

模輸入訊號間之關係為

)(21 211

2 vvRR

RRv

A

BO −⎟⎟

⎞⎜⎜⎝

⎛+−= (2-41)

其中差動增益(differential gain) dA 為

⎟⎟⎠

⎞⎜⎜⎝

⎛+−=

A

Bd R

RRRA 21

1

2 (2-42)

此電路除了具有高增益之外,最主要的優點為具有高輸入電阻。

2.6 運算放大器的其它應用 79

+

R2

vO

R2

R1

+

RB

v1

+

RB

v2

R1

RA

vO1

vO2

i

∞=inR

圖 2-13 差動式儀表放大器。

例題 3

參考圖 2-13 之儀表放大器電路,令 Ω= k5AR , Ω= k50BR , == 21 RR Ωk15 。注意輸入訊號:

)102sin(V20mV15)( 21 ttv π+−=

)102sin(V20mV15)( 22 ttv π+=

計算 )(tvO 。

解: 令兩輸入訊號為 cmd vvtv += 2)(1 , cmd vvtv +−= 2)(2 。其中 =dv

mV 30− 和 )102sin(V20 2 tvcm π= 。代入(2-41)式可得

mV630)(21)( 211

2 =−⎟⎟⎠

⎞⎜⎜⎝

⎛+−= vv

RR

RRtv

A

BO

代表僅有差模成份被放大,而共模成份則被完全排除。

2.6 運算放大器的其它應用

2.6.1 負阻抗轉換器

如圖 2-14(a)所示,現在我們希望求得此電路的輸入電阻 inR ,為求 inR 我

們外加一輸入電壓 tv 並且計算輸入電流 i ,則 ivR tinΔ= ,見圖 2-14(b)。

經過分析得 op amp 輸出電壓為

選擇性閱讀

80 第 2 章 運算放大器電路

(a)

+

R1

R2

Rin

(b)

+

R1

R2

i

vt

R

−+

ivR t

in =

1Rvt

1Rvt

vt

RRRvi t

1

1

21 ⋅=

⎟⎟⎠

⎞⎜⎜⎝

⎛+

1

21RRvt

圖 2-14 (a)一負阻抗轉換器電路,(b)(a)的電路分析。

tt

t vRRR

Rvv ⎟⎟

⎞⎜⎜⎝

⎛+=+

1

22

1

1 (2-43)

因此流經電阻 R 上的電流 1i 為

iRR

RvR

vRRv

i t

tt

−==−⎟⎟

⎞⎜⎜⎝

⎛+

= 11

1

21

2

1 (2-44)

因為流入 op amp 正輸入端的電流為零,所以

2

1

RRRRin −= (2-45)

也就是,輸入電阻是負的。於是我們稱此電路為一負阻抗轉換器(negative impedance converter,簡稱 NIC),其中 R 可能被任一阻抗 Z 所取代。 讓我們更進一步研究此電路的兩個重要應用,分別敘述如下。 電壓至電流轉換器 考慮圖 2-15(a)的電路,現在我們希望計算流經阻抗 LZ 上的電流 Li 。注

意 NIC 電路所示區域的輸入電阻為 R− ,於是電路可簡化為圖 2-15(b)。再顯示將電壓源轉換為其諾頓等效,於是兩並聯電阻 R 和 R− 合併產生

一無窮大的電阻,導致圖 2-15(c)的電路,由此圖得負載電流 Li 為

Rvi I

L = (2-46)

2.6 運算放大器的其它應用 81

(a)

+

R

R

R

R

iLZL

vI −+

(c)

(b)

ZLRvI

Rvi I

L =

iL

ZLvI −R

R

−+

圖 2-15 解釋將負阻抗轉換器應用作為一電壓至電流轉換器。

與 LZ 的值無關!這是一個有趣的結果;它告訴我們圖 2-15(a)的電路可

作為一電壓至電流轉換器(voltage-to-current converter)提供一電流

Li ,此電流直接正比於 Iv ,且與負載阻抗無關。也就是說,端點a 可作

為電流源的輸出,且由此端點看回去的阻抗為無窮大。 非反相積分器 接著考慮圖 2-16 的電路,在此我們以一電容C 作為電壓至電流轉換器

的負載。由前面的分析知供應電容C 的電流 RVI i=l ,因此其上的電壓

aV 為

sCRV

sCIV i

a == l (2-47)

也就是,

sCRV

V

i

a 1= (2-48)

這是一個積分器的轉換函數,在轉換函數中並未出現負號,因此我們稱

為非反相積分器(noninverting integrator)。注意在此我們不能取端點a 作

為輸出端,因為端點a 是一高阻抗節點(high-impedance node),意思是說

在此連接任何的負載將會改變其轉換函數 ia VV 。不過幸運的是,此電路

存在一低阻抗節點,其訊號正比於 aV 。我們說的是 op amp 的輸出端c ,

電壓至電流轉換器提供一

電流 iL,此電流直接正比

於 vI,且與負載阻抗無關。

82 第 2 章 運算放大器電路

+

R

R

R

R

a

Il

Vi

c

Va

C

Vo

圖 2-16 將電壓至電流轉換器應用於一非反相積分器的設計。

ao VV 2= (2-49)

因此

sCRV

V

i

o 2= (2-50)

2.6.2 全通濾波器

一大小響應與頻率無關,即頻寬為無窮大的濾波器稱之為全通濾波器

(all-pass filter),見圖 2-17(a)。由圖 2-17(b)中之分析可得

RCs

sVsCR

RVVV iiab 11 +=

+== (2-51)

而電流 I 為

RCs

RCRV

RCss

RV

RVVI iibi

11

11

+=⎟⎟

⎞⎜⎜⎝

⎛+

−=−= (2-52)

於是

RCs

RCVRCs

sVIRVV iibo 11

1 +−

+=−= (2-53)

解得

0

0

11

)()(

ωω

+−=

+−=

ss

RCsRCs

sVsV

i

o (2-54)

其中

2.6 運算放大器的其它應用 83

(a)

+

R

VoVi

C

R

R

(b)

+

R

VoVi

C

R

R

Vb

Va

I

(c)

ω

0dBi

o

VV

(d)

ω

θ

0

90

180

圖 2-17 全通濾波器:(a)電路,(b)分析,(c)大小響應,(d)相角響應。

RC1

0 =ω (2-55)

代入 ωjs = 可得

ωωωω

ωω

jj

jVjV

i

o

++−

=0

0

)()( (2-56)

故 1=io VV ,稱為平直增益(flat gain)。其大小及相角響應分別見圖 2-17(c)

和圖 2-17(d)。注意,此函數之大小響應為常數與頻率無關,因而稱為全

通。此一網路可當作一相位平移(phase shifter)或修飾一系統的相角響應。

2.6.3 一般性的阻抗轉換器

現在我們希望分析圖 2-18 中之電路並推導出輸入阻抗 inZ 的表示式。為

此一網路可當作一相位平

移或修飾一系統的相角響

應。

84 第 2 章 運算放大器電路

求 inZ ,我們在輸入外加一測試電壓 tV 並且求出輸入電流 I 的表示式,則

輸入阻抗即為

I

VZ tin

Δ= (2-57)

由於 op amp 的虛短路特性,因此 tVVV == 24 。於是在 5Z 上的電流等於

55

45 Z

VZVI t== (2-58)

因為沒有電流流進 op amp 2 的正輸入端,所以

5

54 ZVII t== (2-59)

得電壓 3V 為

tt

t VZZZ

ZVVZIVV ⎟⎟

⎞⎜⎜⎝

⎛+=+=+=

5

44

54443 1 (2-60)

接著在 3Z 的電流為

5

4

35

4

33

233 11

ZZ

ZVV

ZZV

ZZVVI t

tt =⎥⎦

⎤⎢⎣

⎡ −⎟⎠

⎞⎜⎝

⎛ +=−= (2-61)

因為流進兩個 op amp 負輸入端的電流均為零,因此

25

4

3232221 Z

ZZ

ZVVZIVZIVV t

tt −=−=−= (2-62)

− +

00V3

Z4Z3 V4

Z5

−+

0 0V1

Z1 Z2 V2

VtZin

32 II =

1II =

−+ 54 II =

圖 2-18 一般性的阻抗轉換器。

2.6 運算放大器的其它應用 85

在 1Z 上的電流 1I 等於

1

2

5

4

32

5

4

311

11

1ZZ

ZZ

ZVZ

ZZ

ZVVV

ZZVVI tt

ttt =

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛+−=−= (2-63)

最後,因為流入 op amp 1 正輸入端的電流為零,所以輸入電流 I 必須等

於 1I ,

1

2

5

4

3 ZZ

ZZ

ZVI t= (2-64)

因為

I

VZ tin

Δ= (2-65)

由此可得

54

3

2

1 ZZZ

ZZZin = (2-66)

此電路被稱為一般性的阻抗轉換器(generalized impedance converter,簡稱 GIC)。GIC 主要應用於主動無電感式的濾波器設計。現在我們考慮

利用 GIC 實現一等效的電感 L。首先,令

11 RZ = , 22 1 sCZ = , 33 RZ = , 44 RZ = , 55 RZ = (2-67)

4

5312 R

RRRsCZin = (2-68)

因此

4

5312 R

RRRCL = (2-69)

接著我們也可以令 11 RZ = , 22 RZ = , 33 RZ = , 44 1 sCZ = , 55 RZ = (2-70)

2

5314 R

RRRsCZin = (2-71)

因此

2

5314 R

RRRCL = (2-72)

GIC 主要應用於主動無電

感式的濾波器設計。

86 第 2 章 運算放大器電路

例題 4

本節介紹了一非反相積分器,見圖 2-16,其轉換函數為sRCV

V

i

o 2= ,試

設計兩個不同的電路滿足上述相同的轉換函數。 (a)使用兩個 op amp。 (b)僅使用一個 op amp。

解:

(a) 使用一米勒積分器串接一反相放大器,見圖 2-19。 (b)如圖 2-20 所示,分析如下:參考(2-37)式

)0(2

1)( 211

2io V

RSCVV

ZZV −−=−−= iV

sRC2=

+Vo

2r

r−

+

RVi

C

圖 2-19 例題 4:(a)之解答。

+Vo

Vi

C

C

2R

2R

圖 2-20 例題 4:(b)之解答。

2.7 積體電路運算放大器的簡介 87

Gm1

+Vid

b

aA2

Cf

cVo

+1

圖 2-21 IC 運算放大器的內部結構。

2.7 積體電路運算放大器的簡介

2.7.1 內部結構

欲進一步了解 op amp 的頻率響應和步階響應(step response),則必須考

慮 op amp的內部電路。圖 2-21顯示大部份現代 IC op amp的內部結構(方塊圖型式)。op amp 通常包含三級:輸入級(input stage)基本上是一差動

輸入轉導放大器(differential-input transconductance amplifier)。而中間級

(middle stage)是一個電壓放大器,具有一高的負增益( 2A )和一回授式的

補償電容 fC 。最後輸出級(output stage)為一單位增益緩衝器(unity-gain

buffer),將為 op amp 提供一低輸出電阻。因為輸出級部份不是這裡討論

的重點,故我們假設它是一理想的單一增益放大器。也就是說,輸入電

阻為無窮大,輸出電阻為零,而電壓增益則精確等於 1。 圖 2-22(a)顯示一 IC op amp 簡化的小訊號模型,其中輸出級並不考

慮。而輸入級具有一無窮大的輸入阻抗。此級放大差動輸入電壓 idV ( baid VVV −= ),且提供一正比的電流 idm VG 1 ,另外它還具有一輸出電阻

1oR 。因此,第一級主要是將輸入電壓訊號 idV 乘以 1mG 轉變為輸出電流

訊號 idm VG 1 ,其中輸出電流與輸入電壓間之關聯係數即為轉導(trans- conductance)。第二級具有一輸入電阻 2iR ,一轉導 2mG 和一輸出電阻

2oR 。在此回授電容 fC 的主要目的是為了確保 op amp 的穩定。

2.7.2 開迴路增益

現在我們希望分析圖 2-22(a) 的等效電路以決定開迴路增益

A )()( sVsV idoΔ= 。利用米勒定理,即(1-31)式,將電容 fC 以一 fC ( 21 A+ )

選擇性閱讀

88 第 2 章 運算放大器電路

之等效電容取代並置於第二級的輸入端,見圖 2-22(b)。將 1oR 和 2iR 合併

為一電阻 R 。

21 io RRR = (2-73)

由圖 2-22(b)可得

Y

VGV idmi

12

−= (2-74)

其中

)1(12AsC

RY f ++= (2-75)

因此

RAsC

RGVVf

midi )1(1 2

12 ++

−= (2-76)

其中

(a)

Gm1Vid Ro1

b

a

+

Vid Ri2

+

Vi 2

Vo

Cf

c

Gm2Vi2 Ro2

(b)

RGm1Vid

+

Vi 2)1( 2AC f +

圖 2-22 (a)典型 IC 運算放大器的小訊號模型,(b)介於第一級和第二級

之間的等效電路。

2.7 積體電路運算放大器的簡介 89

222

2 omi

o RGVVA −==Δ (2-77)

開迴路增益為

p

f

m

id

o

sA

RAsCRGA

sVsVsA

ω+

=++== ΔΔ

1)1(1)()()( 0

2

12 (2-78)

其中低頻增益 0A 為 RGAA m120 = (2-79)

和 3dB 頻率

RAC f

p )1(1

2+=ω (2-80)

2.7.3 積分器近似 注意在頻率遠高於 pω 時,(2-78)式的 )(sA 可近似為

≅)(sARAsC

RGA

f

m

)1( 2

12

+ (2-81)

對 12 >>A 而言,

≅)(sAf

m

sCG 1 (2-82)

在此頻率下,op amp 的內部電路可以圖 2-23 的結構表示。這裡我們假

設 2A 趨近於∞ ,因此第二級和補償電容則扮演一積分器的角色。注意

在第二級的輸入端出現了一虛接地,且第一級的所有電流( idm VG 1 )流經回

授電容 fC 。因此

Gm1

+Vid

b

a

Cf

0

Gm1Vid

c

+Vo

∞=2A

圖 2-23 運算放大器在 f >> fp時的近似等效電路。

第二級和補償電容扮演積

分器的角色。

90 第 2 章 運算放大器電路

f

idmo sC

VGV 1= (2-83)

如此即得出如(2-82)式所示的開迴路增益。這個簡化的等效電路對於後

面討論變動率限制(slew-rate limitation)時將相當有用。

例題 5

考慮一 741−型 op amp,其 =1mG 0.19mA/V, Ω= M7.61oR , Ω= M42iR ,

5002 =A , pF30=fC 。計算此 op amp 的直流增益 0A 與單位增益頻寬

tf 。

解:

VV104.2

10)47.6(1019.05005

630

×=

××××=Α −

33.61030

1019.012

31 =

××== −

f

mT C

Gω Mrad/s

若以 Hz 表示,則

π

ω2

TTf = ≅1MHz

2.8 頻率響應

2.8.1 內部補償的運算放大器

前面已經介紹過 op amp 的許多電路應用,而在這些電路的分析過程中

我們均假設 op amp 為理想。在許多應用上,雖然這個假設與真實情況

相去不遠,但是一個電路設計者必須要能熟悉實際 op amp 的整體特性

以及這些特性對 op amp 電路表現所造成的影響。如此設計者才能很明

智的使用 op amp。 首先我們考慮一個 op amp 的差動開迴路增益為有限時所造成的影

響,同時也考慮有限增益隨頻率增加而降低所造成的效應。圖 2-24 顯示

一 op amp差動增益的大小響應(適用於大部份一般用途的 op amp,如 741型 op amp)。 注意雖然在 dc 和低頻時的增益非常高,但是它在一相當低的頻率(此例中為 10Hz)即開始以−20dB/decade 的斜率衰減。而對於一內部補償

2.8 頻率響應 91

(internally compensated)的 op amp 而言,其表現大概就是如此。所謂內

部補償就是在同一 IC 晶片上置入一補償網路(通常是一電容),其作用是

造成 op amp 的增益具有一單一時間常數低通響應(single-time-constant low-pass response),如圖 2-24 所示。此修飾開迴路增益的過程稱為頻率

補償(frequency compensation)且其目的是為了確保 op amp 電路的穩定,

這點我們將在第 8 章說明。 由(2-78)式得知一個內部補償 op amp 的增益 )(sA 可表示為

p

sAsA

ω+

=1

)( 0 (2-84)

對於實際的頻率而言, ωjs = ,

p

jAjA

ωωω

+=

1)( 0 (2-85)

其中 0A 代表 dc 增益, pω 則為 3dB 頻率。在圖 2-24 中我們將增益 A 掉

至 1 (0dB)時所對應的頻率以 Tω 表示,則

pT A ωω 0= (2-86)

Tω 稱為單位增益頻寬 (unity-gain bandwidth)。此單位增益頻寬 Tf πω 2T= 通常在 op amp 的資料簿上均會指定。

0

20

60

40

80

100

(dB)log20 A

A0 3dB

101 103 105 106

fp fT

f (Hz)

−20dB/decade

圖 2-24 一典型的一般用途內部補償運算放大器的開迴路增益。

所謂內部補償就是在同一

IC 晶片上置入一補償網

路。

頻率補償之目的是為了確

保 op amp 電路的穩定。

92 第 2 章 運算放大器電路

2.8.2 閉迴路放大器的頻率響應

接下來我們要考慮有限的 op amp 增益和頻寬對反相電路(圖 2-3)和非反

相電路(圖 2-6)的閉迴路轉換函數所造成之影響。 反相放大器 假設 op amp 具有一有限的開迴路增益 A,則由(2-10)式可將反相放大器

的閉迴路增益寫成

⎟⎟⎠

⎞⎜⎜⎝

⎛++

−=

1

2

1

2

111RR

A

RR

VV

i

o (2-87)

將(2-84)式代入得

=)(sG

)1(111

)()(

121

2

0

1

2

RRs

RR

A

RR

sVsV

T

i

o

++⎟⎟

⎞⎜⎜⎝

⎛++

−=

ω

(2-88)

對於1

20 1

RRA +>> 而言,

=)(sG ≅)()(

sVsV

i

o

)1(1

12

1

2

RRs

RR

T ++

ω

(2-89)

因此,反相放大器具有一 STC 低通響應;其 dc 增益的大小等於 12 RR 和

3dB 頻率為

1

2dB3

1RRT

+= ωω (2-90)

故閉迴路的單位增益頻寬 TFω 為

TT

TF

RRR

R ωωω ≅+

⋅=

1

21

2

1 (2-91)

上式係假設 12 RR >> 。

非反相放大器 假設 op amp 具有一有限的開迴路增益 A,則圖 2-6 的非反相放大器其閉

2.8 頻率響應 93

迴路轉換函數為

⎟⎟⎠

⎞⎜⎜⎝

⎛++

+=

1

2

1

2

111

1

RR

A

RR

VV

i

o (2-92)

將(2-84)式代入得

)1(111

1

)()()(

121

2

0

1

2

RRs

RR

A

RR

sVsVsG

T

i

o

++⎟⎟

⎞⎜⎜⎝

⎛++

+==

ω

(2-93)

整理得

=)(sG ≅)()(

sVsV

i

o

)1(1

1

12

1

2

RRsRR

T ++

+

ω

(2-94)

因此非反相放大器亦具有一 STC 低通響應,其 dc 增益為 )1( 12 RR+ ,

而 3dB 頻率則與(2-90)式所示者相同。至於閉迴路的單位增益頻寬 TFω 為

TTF RR ωωω =⎟⎟

⎞⎜⎜⎝

⎛+= dB3

1

21 (2-95)

現令開迴路參數如下: 50 10=A (100dB), 10=pf Hz, =Tf 1 MHz。再

0

20

60

40

80

100A0

fp

fT

f

(dB)

G0

fTFf3dB

)(sA

)(sG

圖 2-25 開迴路增益 A(s)與非反相放大器閉迴路增益 G(s)之大小響應。

94 第 2 章 運算放大器電路

假設 9912 =RR ,故低頻閉迴路增益為 40 dB, =dB3f 10kHz,但 TFf 維

持在 1 MHz,見圖 2-25。代表意義為,加了回授之後,增益衰減,頻寬

伸展,但單位增益頻率(即增益頻寬乘積)並沒有改變。

例題 6

考慮圖 2-3 之反相放大器,令 op amp 本身具有單一極點低通響應且 VV200 =A 和 =Tf 100 MHz。如欲使此放大器之低頻閉迴路增益為

20dB,則此反相放大器之 3dB 頻率為多少?

解:

dB3

0

1

2

1

2

0

1

2

1

2

0

1

2

1

2

1

2

11111

11111)(

11)(

ωω

ω

sG

RRs

RR

A

RR

RRs

A

RR

RR

sA

RR

VVsG

T

p

i

o

+=

⎟⎟⎠

⎞⎜⎜⎝

⎛++⎟⎟

⎞⎜⎜⎝

⎛++

−=

⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟

⎟⎠

⎞⎜⎜⎝

⎛++

−=

⎟⎟⎠

⎞⎜⎜⎝

⎛++

−==

其中低頻增益 0G 為

⎟⎟⎠

⎞⎜⎜⎝

⎛++

−=

1

2

0

1

2

0

111RR

A

RR

G

和 3dB 頻率 dB3ω 為

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛++

⎟⎟⎠

⎞⎜⎜⎝

⎛+

=1

2

0

1

23 111

1RR

ARRT

dBωω

代入數值

⎟⎟⎠

⎞⎜⎜⎝

⎛++

=

1

2

1

2

12011

10

RR

RR

可解得

211

2 =RR

加了回授之後,增益衰

減,頻寬伸展,但單位增

益頻率(即增益頻寬乘積)並沒有改變。

2.9 大訊號操作 95

=⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛++

⎟⎟⎠

⎞⎜⎜⎝

⎛+

=1

2

0

1

23 111

1RR

ARR

ff TdB 9.55 MHz

2.9 大訊號操作

本節我們將研究當輸出出現大訊號時 op amp 電路表現所受到的限制。

換言之,當大訊號出現時,op amp 可能遭受兩種失真輸出飽和失真

與延遲率失真。

2.9.1 輸出飽和

op amp 和其他的放大器類似在某一額定的輸出電壓範圍之內才能

線性地操作。圖 2-26 所示為 op amp 具有輸出飽和現象的轉換特性。其

中 MV 和 mV 之值大約分別在正負電源供應值之內 2 或 3 伏特。因此,一

個操作在 ± 15V 電源的 op amp,其輸出電壓在正方向到達約+12V 以及

在負方向到達約−12V 時將飽和。對此 op amp 而言,我們說它的額定輸

出電壓(rated output voltage)為 ± 12V。因此,為了避免輸出波形的尖峰被

截掉而導致波形失真,則輸入訊號必須相對地保持很小。

A=斜率vO

VM

0A

Vm

AVM vI

Vm

線性區飽和區 飽和區

圖 2-26 一放大器的轉換特性。

為了避免輸出波形的尖峰

被截掉導致失真,則輸入

訊號必須相對地保持很

小。

96 第 2 章 運算放大器電路

2.9.2 延遲率

當大訊號出現時造成非線性失真的另一種現象就是延遲率限制(slew -rate limiting)。考慮圖 2-27(a)的一增益追隨器。令輸入電壓 Iv 為一高度V的步階(step)波形,見圖 2-27(b)。由(2-94)式代入 02 =R 和 ∞=1R 可得出

閉迴路增益:

T

i

o

sVV

ω+

=1

1 (2-96)

或由

))(( oio VVsAV −= (2-97)

(a)

+

+

vO−+vI

(b)

0 t

vI

V

(c)

τ t

vO

V

(d)

0 t

vO

VSR=斜率

圖 2-27 (a)一單位增益追隨器,(b)輸入步階波形,(c)當V很小時所得

到的指數上升輸出波形,(d)當V很大時所得到的線性上升輸出

波形(此時放大器被延遲率所限制)。

當大訊號出現時造成非線

性失真的另一種現象就是

延遲率限制。

2.9 大訊號操作 97

Tp

p

i

o

ssA

sA

sAsA

VV

ωω

ω

+≅

++

+=

+=

1

1

11

11)(

)(0

0

(2-98)

為一 STC 低通響應。因此其輸出波形為

)1(ˆ)( τtO eVtv −−= (2-99)

其中 Tωτ 1= 。圖 2-27(c)顯示這個指數上升波形。

事實上,如此的響應只有在步階高度V “很小” 時才會得到。對於大

的步階輸入(例如,5V)而言,輸出波形將是一線性斜波訊號(linearly ramping signal),見圖 2-27(d)。很重要的一點是,此線性斜波的斜率要

比相同大小V 之指數上升波形[圖 2-27(c)]的起始斜率 τV 要小。因此圖

2-27(d)的線性斜波響應代表 op amp 輸出不能以(2-99)式中所預期的速率

上升。當這件事情發生時,我們說此 op amp 被延遲率所限制了,而在

輸出處此線性斜波的斜率即定義為延遲率(slew rate)。因此延遲率(SR)就是 op amp 輸出電壓對時間最大可能的變化率。

max

O

dtdv=SR (2-100)

(a)

+

b

a

Vid

+Gm1

Io1

(b)

1mG=斜率

IM

0

Im

線性區飽和區 飽和區

Vid

Io1

圖 2-28 在 IC 運算放大器中輸入轉導放大器及其轉換特性。

延遲率(SR)就是 op amp輸出電壓對時間的最大變

化率。

98 第 2 章 運算放大器電路

這個量通常在 op amp 資料簿上均會指定,其單位以 sV μ 表示。因此,

對於一訊號V 而言,若指數上升的起始斜率 τV 超過了 op amp 的延遲

率,則圖 2-27 的 op amp 將開始遭受延遲率限制,此時輸出將呈現線性

上升的波形。 接下來我們研究延遲率限制的由來。再次考慮圖 2-27(a)的單位增益追

隨器,並在輸入加上一幾伏特的步階。我們發現在 0=t 時,輸入上升至

V 伏特,但輸出仍保持在零伏特。因此整個步階的大小將出現在 op amp的兩輸入端之間。如此大的一輸入差動電壓 idV 將使得輸入轉導放大器進

入飽和,如圖 2-28 所示。在此條件下,轉導放大器將其最大可用的輸出

電流 MI 供應至第二級(注意 MI 小於 idm VG 1 )。此定電流 MI 流經第二級的

補償電容,造成輸出電壓以斜率 fM CI 線性上升。這就是輸出電壓最高

可能產生的變化率,也就是 op amp 的延遲率。因此,

f

M

CI=SR (2-101)

2.9.3 全功率頻寬

運算放大器本身由於變動率限制將對一大訊號正弦波形造成非線性失

真。考慮一個單位增益追隨器外加一振幅為 MV 且頻率為ω 之正弦波輸

入,則

tVtv MO ωsin)( = (2-102)

此波形的變化率為

t

vO (t)

延遲率限制

圖 2-29 延遲率限制對輸出正弦波形的影響。

定電流 IM 流經第二級的

補償電容,造成輸出電壓

以斜率 IM/Cf線性上升。

2.9 大訊號操作 99

tVdt

tdvM

O ωω cos)(= (2-103)

此變化率的極大值為 MVω ,且此極大值發生在輸入正弦波與 t 軸的交會

點處。現在若 MVω 超過了 op amp 的延遲率,則輸出波形將產生失真,

如圖 2-29 所示。 在 op amp 的資料簿上通常會指定一頻率 Mf ,稱為全功率頻寬(full

power bandwidth)振幅等於 op amp 額定輸出電壓的一正弦波輸出開

始顯現失真(由於延遲率限制)時的頻率即應為 Mf 。若我們將額定輸出電

壓以 MV 表示,則 Mf 與 SR 之關係如下:

SR=MMVω (2-104)

因此,

M

M VSRfπ2

= (2-105)

顯然一振幅小於 MV 的輸出正弦波在頻率超過 Mω 時也將顯現出延遲率

失真(slew-rate distortion)。事實上,頻率ω 的輸出正弦波在未失真情況

下的最大振幅如下:

⎟⎠⎞

⎜⎝⎛=

ωωM

MO Vv (2-106)

最後,我們必須注意延遲率限制與第 2.8 節的小訊號頻率限制絕對不同。

例題 7

假設 op amp 均為理想,但是其最大輸出飽和電壓為 ± 5V。現在考慮輸

入一振幅為 0.1V 的正弦波,試計算當輸入頻率分別為 0.1Hz 及 10MHz時,輸出電壓波形的振幅。 (a)積分器電路。 (b)微分器電路。

解:

(a) 對於圖中之積分器而言,

RCV

V

i

o

ω1=

⎪⎪⎩

⎪⎪⎨

=×=××××

==×××=

−−

MHz 10VV1059.1101010102

1

Hz 1.0VV159210101.02

1

5636

63

f

f

L

LLLLL

π

π

振幅等於 op amp 額定輸

出電壓的一正弦波輸出開

始顯現失真(由於延遲率

限制)時的頻率即應為 fM。

100 第 2 章 運算放大器電路

(a)

+

+5V

C=1μF

vO

−5V

R=1kΩ

vI −+

(b)

+

+5VC=1μF

vO

−5VvI

R=1kΩ

−+

圖 2-30 例題 7:(a)積分器電路,(b)微分器電路。

⇒⎪⎩

⎪⎨⎧

==

− MHz10V1059.1

0.1HzV56 f

fVo

LLL

LLLLLLL

(b) 對於圖中之微分器而言,

⎩⎨⎧

=×=××=×=××

==−

−−

MHz 10VV1028.610102Hz1.0VV1028.6101.02

437

43

ff

RCVV

i

o

LL

LL

ππ

ω

⇒⎩⎨⎧

==×

=−

MHz 105VHz1.0V1028.6 5

ff

VoLLLLLLLL

LLLL

2.10 共模排斥比

2.10.1 共模增益

實際的 op amp 具有不為零的共模增益(common-mode gain);也就是說,

若兩輸入端接在一起且外加一訊號 CMv ,則輸出將不為零。此時輸出電

壓 Ov 與輸入電壓 CMv 的比值稱為共模增益 cmA 。圖 2-31 解釋此定義。 考慮一 op amp,訊號 av 和 bv 分別加在其非反相和反相輸入端。差動輸

入訊號 idv 為

baid vvv −= (2-107)

兩輸入訊號的平均值則為共模輸入訊號 CMv ,

選擇性閱讀

2.10 共模排斥比 101

+vOAcm

c

vCM

b

a−+

圖 2-31 解釋運算放大器共模增益之定義。

2

baCM

vvv += (2-108)

輸出電壓 Ov 可表示為

CMcmidO vAAvv += (2-109)

其中 A為差動增益和 cmA 為共模增益。

2.10.2 共模排斥比

一 op amp 排斥共模訊號的能力將以共模排斥比(common-mode rejection ratio;簡稱 CMRR)來表示,定義為

cmAA

Δ=CMRR (2-110)

通常 CMRR 可以分貝來表示:

cmAA

log20CMRR = (2-111)

CMRR 是頻率的函數,當頻率增加時其值下降。CMRR 在低頻時的典型

值約在 80 至 100 dB 間。現在我們將考慮 op amp 有限的 CMRR 對閉迴

路組態的影響。 反相組態 op amp 的有限 CMRR 對反相組態而言並不重要。因為正輸入端接地,

因此共模輸入訊號幾乎為零。 非反相組態 在非反相組態中,共模輸入訊號幾乎就等於外加輸入訊號。因此在要求

高度精確增益值的應用上,op amp 的有限 CMRR 就要考慮進去。 差動放大器

102 第 2 章 運算放大器電路

對圖 2-12 的差動放大器而言,若 op amp 的 CMRR 為有限時,則此電路

就不僅放大差額訊號而已了。

2.10.3 誤差電壓的觀念

在計算閉迴路增益時,將有限 CMRR 的效應考慮進去的分析方法如下:

一共模輸入訊號 CMv 產生一輸出成份 CMcmvA ,見圖 2-31。於是吾人可定

義一共模誤差電壓(common-mode error voltage) erv ,

CMRR

CMCMcmer

vAvAv == (2-112)

此訊號 erv 被加至一零共模增益的 op amp 上,則可產生與前者相同的輸

出成份。因此,在一電路中,只要一求得輸入共模訊號,即加上一訊號

產生器 erv 至 op amp 的一輸入端,而後進行分析,在剩餘的分析過程中

可假設 op amp 為理想。 舉一例說明,圖 2-32 顯示考慮 op amp 之 CMRR 為有限值時非反相組

態的分析,由圖 2-32(a)可看出 CMv ~− Iv 。因此這個 op amp 即被一理想的

op amp 附帶一 CMRRIer vv = 的誤差電壓產生器所取代,見圖 2-32(b)。

其結果為

⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟

⎠⎞

⎜⎝⎛ +=

1

21CMRR

11RRvv IO (2-113)

增益誤差 %100CMRR

1 ×= (2-114)

(a)

+非理想

vO

c

vI

b

a

R2

)(CMRR ∞≠

R1

−+

(b)

+

理想

vO

c

vI

b

a

R2

R1

−+

非理想

−+ver

圖 2-32 考慮有限 CMRR 對非反相組態的影響。

2.11 輸入和輸出電阻 103

2.11 輸入和輸出電阻

圖 2-33 顯示考慮有限的輸入和輸出電阻之後所得的 op amp 模型。如圖

所示,op amp 在兩輸入端間具有一差模輸入電阻(differential input resistance) idR 。除此之外,若兩輸入端接在一起所測量到的輸入電阻稱

為共模輸入電阻(common-mode input resistance) icmR 。在等效電路中我們

將 icmR 拆成兩個相等成份 icmR2 ,每一成份均接在一輸入端和接地點間。

2.11.1 輸入電阻

對於利用雙載子接面電晶體製作的一般用途 op amp 而言,其輸入電阻

的典型值為 Ω= M1idR 和 Ω= M100icmR 。在輸入級利用場效電晶體所製

作的 op amp 則具有較高的輸入電阻。對於一特定閉迴路電路的輸入電

阻值將由 idR 和 icmR 以及電路組態所決定。

反相組態 對反相組態而言,輸入電阻幾乎等於 1R 。詳細分析亦顯示 idR 和 icmR 對

於反相電路輸入電阻值的影響可以忽略。 非反相組態 另一方面,非反相組態的輸入電阻則與 idR 和 icmR 以及 A和 12 RR 之值具

2Ricm

2Ricm

Rid

+

Ro

)( ba vvA −

Ro

c

Ricm

Rid

vCM

b

a−+

−+

圖 2-33 顯示輸入和輸出電阻的運算放大器之模型。

選擇性閱讀

104 第 2 章 運算放大器電路

有強烈的關係。假設 0=oR , icmRR <<1 , ARR id <<2 ,將可導出非反相

電路輸入電阻的近似表示式: ≅inR idicm RAR )1(2 β+ (2-115)

其中 β 為

21

1

RRR+

=β (2-116)

2.11.2 輸出電阻

開迴路輸出電阻 oR 的典型值約 75 至 Ω100 之間。現在我們希望求出閉迴

路放大器的輸出電阻。為此我們將訊號源短路,如此將使得反相和非反

相組態完全一樣,並加上一測試電壓 tV ,如圖 2-34 所示。因此,輸出

電阻 IVR tout = 即可由此圖分析求得。

ttid VRR

RVV β−=+

−=21

1 (2-117)

由輸出節點可寫下一節點方程式:

o

tt

o

idtt

RVA

RRV

RAVV

RRVI )1(

2121

β+++

=−++

= (2-118)

因此

Ro

Rout

R2

R1

AVid Vt

I−

+

Vid

−+−+

βARo

+1

)( 21 RR +

圖 2-34 閉迴路輸出電阻的推導。

2.11 輸入和輸出電阻 105

otout RA

RRVI

Rβ++

+==Δ

111

21

(2-119)

其中 β 定義為

21

1

RRR+=Δβ (2-120)

這代表閉迴路輸出電阻包含兩項並聯成份,

⎟⎟⎠

⎞⎜⎜⎝

⎛+

+=βA

RRRR oout 1

][ 21 (2-121)

正常情況下 oR 遠小於 21 RR + ,導致

≅outRβA

Ro

+1 (2-122)

注意閉迴路輸出電阻比 op amp 本身的開迴路輸出電阻小了一個因子,

此因子即等於回授量 βA+1 。若 1>>βA ,則

≅outRβA

Ro (2-123)

在非常低的頻率之下,A為實數且其值很大,將產生一非常小的 outR 。

舉一例說明:一利用 op amp 設計的電壓追隨器,若 50 10=A 和

Ω=100oR ,則 Ω=×= m1)110(100 5outR 。

例題 8

若 40 10=A , Ω= M1idR , Ω= M50icmR , Ω= 200oR , MHz1=Tf , =fR

Ωk100 ,試證明輸入阻抗 inZ 可表示為如下圖之等效電路,並計算

BA RR , 和 L 之值。

(a)

+

Rf

Zin

It

vO

(b)

RA

RB

LZin

圖 2-35 例題 8:(a)電路,(b)(a)中電路簡化後的等效電路。

106 第 2 章 運算放大器電路

解:

將 op amp 的等效電路代入可得圖 2-36,且

⎟⎠⎞

⎜⎝⎛ −=

IVRRZ id

icmidin 2

其中

of

idid

RRAVVI

+−−=

移項整理得

1+

+=−

ARR

IV ofid

因此輸入阻抗為

1

2++

=A

RRRRZ of

icmidin

或輸入導納為

ofoficmid

inin RRA

RRRRZY

++

+++== − 1

2111

代入

2Ricm

2Ricm

+

Ro

Zin AVid

Rf

RidVidIt

I

−+

圖 2-36 例題 8:分析。

2.12 直流偏移電壓和偏壓電流 107

Tp

sA

sAsAA

ωω+

=+

==

0

0

11

1)(

可得

T

ofofoficmidin RR

sA

RRRRRRY

ω+

++

++

++=

0

112

11sLRR BA +

+=Δ11

其中

mH95.15

02.10

k91)(2

0

=+

=

Ω=+

=

Ω=+=

T

of

ofB

oficmidA

RRL

ARR

R

RRRRR

ω

2.12 直流偏移電壓和偏壓電流

2.12.1 輸入偏移電壓

第一種定義 因為 op amp 是直接耦合元件,它在 dc 具有很大的增益。第一個直流上

的問題就是偏移電壓(offset voltage)。為了了解此問題,我們考慮以下一

個觀念性的實驗:若 op amp 的兩輸入端接在一起且再接地,則在輸出

將產生一直流電壓,此為輸出直流偏移電壓(output dc offset voltage) OV 。在閉迴路組態的分析中,為了方便將此電壓考慮進去,遂將它反射

回輸入。也就是,將此輸出直流偏移電壓除以增益 0A 即可得出輸入偏移

電壓(input offset voltage) offV ,

0A

VV Ooff = (2-124)

其中 0A 代表 op amp 的直流增益。

另一種觀點 由圖 2-37(b)可看出若將兩輸入端接在一起則輸出將為 Ooff VVA =0 ,此即

原先我們對 offV 的定義。另一種對 offV 的解釋如下:若在一實際 op amp

將此輸出直流偏移電壓除

以增益 A0 即可得出輸入

偏移電壓。

108 第 2 章 運算放大器電路

的兩輸入端間加一電壓使得輸出 dc 電壓降至零,則所加的此電壓大小

即為輸入偏移電壓。這種解釋是假設 offV 的極性已知,所以外加電壓的

極性必須與 offV 相反,見圖 2-37(c)。一般用途的 op amp 其偏移電壓 offV 約

在 2mV 至 5mV 左右。 偏移電壓的影響 現在將考慮 offV 對閉迴路放大器表現的影響。為了簡化問題,所以我們

把訊號源接地。如此一來,反相和非反相組態完全一樣,見圖 2-38(a)。 由圖 2-38(a)可以很容易看出在輸出產生一 dc 偏移電壓

⎟⎟⎠

⎞⎜⎜⎝

⎛+=

1

21RRVV offO (2-125)

當輸入訊號一旦出現時,此輸出直流電壓將被疊加在輸出訊號之上。若

閉迴路增益很大時,此輸出直流偏移電壓可能很高,因此降低了最大可

允許的輸出訊號擺幅。 克服此直流偏移問題的一種方法就是用電容耦合此放大器。但是,這

種方法只有在閉迴路放大器不需要放大 dc 或非常低頻訊號時才適用。

(a)

+非理想

b

a

0≠OV

(b)

+理想

b

a−+

0≠OV

Voff

(c)

+

b

a−+

0=OV

Voff

+Voff

圖 2-37 解釋輸入偏移電壓 Voff的定義。

降低了最大可允許的輸出

訊號擺幅。

2.12 直流偏移電壓和偏壓電流 109

+理想

R2

R1

−+

非理想

Voff

VO

(a) (b)

+

R2

R1

非理想

CC

Vi −+Vo

圖 2-38 (a)計算在一閉迴路放大器中由於 Voff所產生的輸出 dc 偏移電壓,(b)利用耦合電容可降低

輸出直流偏移電壓。

圖 2-38(b)顯示一電容耦合反相放大器。事實上此電路具有一 STC 高通

響應,其 3dB 頻率為 11 RCCL =ω ,而在頻率 Lωω >> 時,增益將為

12 RR− 。這個電路的優點就是 offV 不會被放大。因此輸出直流電壓將等

於 offV 而非 )1( 12 RRVoff + 。

2.12.2 輸入偏壓電流

定義 在 op amp 中第二個遭遇到的直流問題顯示於圖 2-39。為了讓 op amp 正

常地操作,它的兩個輸入端必須供應有限的直流電流,此電流稱為輸入

偏壓電流(input bias currents)。在圖 2-39 中,此二電流是被兩個電流源 1BI和 2BI 所代表。op amp 的製造商通常會指定 1BI 和 2BI 的平均值以及其間

的差值。平均值 BI 稱為輸入偏壓電流,

2

21 BBB

III += (2-126)

差值 offI 則稱為輸入偏移電流(input offset current),

21 BBoff III −= (2-127)

利用雙載子電晶體製作的一般用途 op amp 之典型值為 nA100=BI 和

nA10=offI 。在輸入級使用場效電晶體製作的 op amp 則具有較小的輸入

偏壓電流(可至微微安培的數量級)。

110 第 2 章 運算放大器電路

IB2

a

IB1

b

c

圖 2-39 考慮輸入偏壓電流的 op amp 等效電路。

偏壓電流的影響

考慮圖 2-40(a)的閉迴路放大器,經分析可得輸出直流電壓為 21RIV BO = (2-128)

這顯然限制了 2R 的上限值。很幸運地,有一種技巧可以用來降低由於輸

入偏壓電流在輸出所造成的偏移電壓,就是在輸入端與接地點間置入一

電阻 3R ,如圖 2-40(b)所示。經電路分析可得

⎟⎟⎠

⎞⎜⎜⎝

⎛+−=

1

23221 1

RRRIRIV BBO (2-129)

首先考慮 BBB III == 21 ,則

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+−=

1

232 1

RRRRIV BO (2-130)

因此如欲將 OV 降至零必須選擇 3R 使得

21

213 RR

RRR+

= (2-131)

也就是, 3R 必須等於 1R 和 2R 的並聯。 已經選擇了上述的 3R 之後,再考慮 offI 的效應。令 21 offBB III += 和

22 offBB III −= ,代入(2-129)式得

2RIV offO = (2-132)

這已經比原先沒有 R3時的值約小了一個數量級。結論是,若希望降低輸

2.12 直流偏移電壓和偏壓電流 111

IB2

a

IB1

b −

+c

0

R1

0V

R2IB1

21RIV BO =

(a)

IB2

a

IB1

b −

+c

VO

R2

1

R1

R3

IB2

1

321 R

RII BB −

32RIB−

32RIB−

32

RRIB

(b)

圖 2-40 (a)考慮輸入偏壓電流的閉迴路放大器之分析,(b)利用適當的 R3

可降低由輸入偏壓電流所導致的輸出偏移電壓。

入偏壓電流的效應,則吾人必須在正輸入端置入一電阻,且其值等於在

反相端所看到的直流電阻。注意若放大器為 ac 耦合,則我們必須選擇

23 RR = ,如圖 2-41(a)所示。 在 ac 耦合放大器中,注意在 op amp 的每一輸入端與接地點間必須永

遠提供一連續的直流路徑。基於此原因,圖 2-41(b)的 ac 耦合非反相放

若希望降低輸入偏壓電流

的效應,則吾人必須在正

輸入端置入一電阻,且其

值等於在反相端所看到的

直流電阻。

112 第 2 章 運算放大器電路

+

R2

R1CC

Vi

Vo

23 RR =

−+

(a)

+

R2

R1CC

Vo

Vi

C2R3−+

3RRin =

(b)

圖 2-41 (a)一交流耦合放大器,(b)解釋在 op amp 的每一輸入端必須存在一連續的 dc 路徑。

大器若沒有置入一至接地的電阻 3R ,則此電路將無法工作。但不幸地

是,置入 3R 後將嚴重降低閉迴路放大器的輸入電阻。

例題 9

利用 Spice (simulation program for integrated-circuit emphasis)程式中的

(−314.102uV,3.1uV)

−20V

0V

20V

400uV200uV0uV−200uV−400uV

V(22)

(A) Diff-Mode Transfer Characteristics of 741 OPAMP

Vid

圖 2-42 例題 9:附圖。

練習題 113

直流掃描指令可得出一 741 運算放大器的差模轉換特性,即 OV 對 idV 之

關係。請注意此特性曲線並未直接穿越原點,根據圖 2-37(c)之定義,

試估計此運算放大器之輸入偏移電壓 offV 。

解: 轉換特性中顯示兩個重要訊息。圖中顯示非對稱的轉換特性,其中

線性區並未通過原點,而是出現在輸入差模電壓為 V1.360 μ− 與

V9.265 μ− 之間。利用檢視(probe)指令觀察特性曲線穿過零值輸出

處,對應之輸入差模電壓為 V102.314 μ− 。根據輸入偏移電壓 offV

(input offset voltage)的定義,上述 V102.314 μ− 之負值即為輸入偏移

電壓,即 V102.314 μ+=offV 。

這裡必須特別指出,上述關於輸入偏移電壓 offV 的出現並非由於

差動級中電晶體或是負載的不匹配所造成,而是純粹由於 741 op amp 本身的電路系統偏移(systematic offset)所引起的。至於在實際

電路中, offV 的出現仍需考慮製造過程中電晶體或負載不可避免的

隨機不匹配現象。

2.1 針對圖 2-4 之反相放大器,令 ∞≠A , (a) 證明由 Iv 所看到的輸入電阻 inR 為

⎟⎟⎠

⎞⎜⎜⎝

⎛++

⎟⎟⎠

⎞⎜⎜⎝

⎛−

+

=

1

2

1

2

1

111

1

1

RR

A

RR

A

RRin

(b) 若 ∞=A 時, inR 之值為何?

+vO

vI

R

R

R

R

R

R

習題 2.2 附圖

§ 練習題

114 第 2 章 運算放大器電路

2.2 如附圖所示電路,分析此電路並決定輸出電壓 Ov 。

2.3 證明圖 2-10(a)和(b)中的兩個濾波器轉換函數分別為(2-28)式和

(2-31)式。

2.4 如附圖所示,設運算放大器有理想的特性,求 2R 及C 之值,使放大

器之低頻電壓放大倍數為 50,頻寬 srad104dB3 =ω 。

+

C

Vo

Vi

R2

kΩ11 =R

習題 2.4 附圖

2.5 (a) 參考附圖(a)所示電路,證明其轉換函數為

⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟

⎠⎞

⎜⎝⎛ +

−==Δ

H

Li

o

ss

RR

VVsH

ωω 11

)( 1

2

其中 111 RCL =ω 和 221 RCH =ω ,並證明其波德圖為一帶通函

(a)

+Vo

Vi

R2

C2

R1C1

(b)

i

o

VV

(dB)

ωL ωH ω

+20dB/dec −20dB/dec

習題 2.5 附圖

練習題 115

數,見圖(b)。 (b) 設計此一帶通濾波器(bandpass filter)使之滿足中頻增益為 40

dB, =Lf 100 Hz, =Hf 10 kHz,在 Lff >> 時的輸入電阻為

Ωk10 。

2.6 分析附圖電路,決定 Ov 與四輸入電壓間之關係。

+vO

R

R2v2

R1v1

R3v3

R4v4

習題 2.6 附圖

2.7 附圖電路中,決定 Ov 與 1v 和 2v 之關係式。

+vO

v1

v2

300Ω

500Ω

400Ω

100Ω

習題 2.7 附圖

2.8 運算放大電路如圖所示,若輸入電壓為 ttvi3105cos)( ×= ,試問輸

出電壓 )(tvo 為何?

2.9 考慮一運算放大器,令額定輸出電壓為 V10± , SV1SR μ= ,計算

其全功率頻寬 Mf 之值。

116 第 2 章 運算放大器電路

+

R1=1kΩ

R=2kΩC=0.1μF

Vi (t) Vo(t)

R1=1kΩ

習題 2.8 附圖

2.10 考慮圖 2-9(a)之微分器電路,令 tVtv pI ωsin)( = ,且 op amp 的延遲

率為 SR,試證明此電路的輸出訊號可忠實呈現輸入訊號微分時所

容忍的最大頻率 maxf 為

pRCV

f SR21

max π=

2.11 附圖所示為一電壓至電流轉換器,試證明流經負載的電流 Li 恆等於

Rvi I

L

−=

且 Li 與 LZ 無關。

+

100kΩ

100kΩ

100kΩ

vI

+ZL

iL

100kΩ

R−+

習題 2.11 附圖

2.12 附圖所示為一差動放大器,假設 op amp 為理想,試證明其差模電

壓增益 dA 為

參考書目 117

⎟⎟⎠

⎞⎜⎜⎝

⎛+−==Δ

Gd

od R

RRR

vvA 2

1

2 12

+

R2R1

R1 R2

R2

R2

+vo−

+

vd

RG

習題 2.12 附圖

參考書目

1. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

2. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

3. J. W. Nilsson and S. Riedel, “Electric Circuits,” 9th ed. Prentice Hall, 2010.

4. S. Franco, “Design with Operational Amplifiers and Analog Integrated Circuits,” New York: McGraw-Hill 1988.

5. R. F. Coughlin, and F. F. Driscoll. “Operational Amplifiers and Linear Integrated Circuits,” Englewood Cliffs, NJ: Prentice Hall, Inc., 1977.

6. J. G. Graeme, G. E. Tobey, and L. P. Huelsman. “Operational Amplifiers: Design

and Applications,” New York: McGraw-Hill Book Co., 1971.

7. A. Barna, and D. I. Porat. “Operational Amplifiers,” 2nd ed. New York, NY: John Wiley and Sons, Inc., 1989.

8. H. M. Barna, “Op-Amp Circuits and Principles,” IN: SAMS, A division of Macmillan Computer Publishing, 1991.

9. J. K. Roberge, “Operational Amplifiers: Theory and Practice,” New York: Wiley, 1975.

10. S. Soclof, “Design and Applications of Analog Integrated Circuits,” Englewood Cliffs, NJ: Prentice Hall, Inc., 1991.

11. 張文清, “SPICE 電子電路模擬”,四版,

台北鼎茂圖書,2009。

半導體二極體

半導體工業可說是全球在二次大戰之後發展最成功,

也最快速蓬勃的產業。本章將由介紹半導體材料及其

物理特性談起,包括純質和外質的觀念,載體傳導的

過程;延伸至 pn 接面,二極體物理與電流電壓關係

式,以及齊納和蕭基二極體的基本特性等。最後,我

們將介紹半導體二極體的電路應用,如整流器與電壓

調節器。 3.1 純質半導體

3.2 外質半導體

3.3 載體遷移過程

3.4 載體擴散過程

3.5 pn 接面的物理特性

3.6 pn 接面的電流電壓特性

3.7 pn 接面的寄生電容

3.8 二極體電路分析

3.9 小訊號模型

3.10 蕭基二極體

3.11 齊納二極體

3.12 整流器電路

3.13 峰值整流器

3.1 純質半導體 119

3.1 純質半導體

態材料(solid-state materials)主要可分為三類:導體(conductor)、半

導體(semiconductor)、絕緣體(insulator)。導體是指在其上外加特定

電壓即可造成大量電流的一種材料,於是傳導能力很好,即電阻係數

(resistivity)很低。而電阻係數則是對材料傳導能力好壞的一種計量。另

外,絕緣體是在其上外加電壓後幾乎無法造成電流傳導的材料,於是傳

導能力很差,即電阻係數很高。因此,我們對半導體下一定義:半導體

就是傳導能力介於導體與絕緣體間之材料。或者也可以說,半導體是一

種既非良好導體亦非良好絕緣體的一種材料。讀者會懷疑一半導體的電

阻係數為何不是一個確定的物理常數,反而是在八個數量級的大範圍內

變動。其實,這也正是半導體最大的優點半導體可經由摻雜使其電

阻係數作大幅度的變動。再加上適當的安排與設計,即可獲得各式各樣

功能及表現不同的半導體元件。不過,本節先不談外加雜質的問題,只

考慮一個「乾淨」且無任何雜質組成或是缺陷產生的半導體,即純質半

導體(intrinsic semiconductor)。

3.1.1 電阻係數 首先,我們將先前提到的一材料之電阻係數 ρ ,其定義如下:

ALR ρ= (3-1)

其中 L和 A分別為一矩形電阻樣本的長度和橫截面積,R 為其電阻值(以Ω表示)。上式說明一矩形樣本之電阻值正比於長度,反比於橫截面積,

其間之比例係數即為電阻係數。但是若我們令 2cm1=A 且 L = 1cm 代入

上式,除了可以理解電阻係數的因次(dimension)為什麼是Ω -cm 之外,

更可以知道電阻係數的物理意義電阻係數就是體積為一立方公分

材料之電阻值。 另一方面,電阻係數與電子濃度或遷移率等參數之間的關係將在第 3.3節討論。在該節中,我們將看到一 n-型半導體的電阻係數分別與電子濃

度與電子遷移率成正比。接下來,我們就半導體材料的分類及其重要特

性作一簡介。

半導體就是傳導能力介於

導體與絕緣體間之材料。

無任何雜質組成或是缺陷

產生的半導體,即純質半

導體。

選擇性閱讀

120 第 3 章 半導體二極體

3.1.2 半導體材料

半導體材料的研究自十九世紀初期開始,至今約有兩百年歷史,期間研

究的材料不斷增加且其應用範圍也愈來愈廣。表 3-1 顯示週期表中與半

導體有關的元素。根據此表可將半導體分為元素半導體與化合物半導

體。 元素半導體 元素半導體中較具代表性者為第四族中的矽(silicon)和鍺(germanium)。其中鍺是 1950 年代主要的半導體材料,但由於鍺製二極體與電晶體等

元件的漏電流較大以及鍺氧化物具水溶性等缺點,於是其地位很快地被

矽所取代。矽的主要優點有地球上藏量豐富,矽原料提煉容易且成

本較低;另外,矽元件漏電流較小且可成長出品質很好的二氧化矽

2SiO 。於是自 1960 年代起,矽發展迅速並且成為目前積體電路(integrated

circuit)工業中最主要的材料,同時矽技術也是所有半導體技術中發展最

成熟,也最先進的。 化合物半導體 所謂化合物半導體當然是指兩種以上的元素所構成的材料。例如第二族

的鋅(Zn)和第六族的硒(Se)化合形成硒化鋅(ZnSe),第三族的鎵(Ga)和第

五族的砷(As)化合形成砷化鎵(GaAs)等。其中較值得一提的是,砷化鎵

其主要特點為電子遷移率(electron mobility)特高和可作為光學及光電方

面的應用(遷移率的說明見本章第 3.3 節),而這些特點都是矽所欠缺的。

另外,硒化鋅之放光波長正好在藍光的範圍,於是可作為藍色發光二極

體(light-emitting diode)之材料。

表 3-1 週期表中與半導體有關之元素。Si 和 Ge 為常見的四價元素半導

體,而 GaAs 和 ZnSe 等則為化合物半導體。

族 週期 Ⅱ Ⅲ Ⅳ Ⅴ Ⅵ

2 B C N

3 Al Si P S

4 Zn Ga Ge As Se

5 Cd In Sn Sb Te

6 Hg Pb

半導體分為元素半導體與

化合物半導體。

砷化鎵其主要特點為電子

遷移率特高和作為光電方

面的應用。

3.1 純質半導體 121

3.1.3 晶體結構與共價鍵結

晶體結構 原子為物質的基本組成,而原子間週期性的排列形成了晶格(lattice)。若

這種規則的週期性排列方式可維持很大的範圍,則稱為單晶結構

(single-crystal structure)。在電子學領域內像是矽、鍺、砷化鎵等半導體

材料均是一種單晶結構。此外,即使有雜質加入,像是將硼或磷摻入矽

材料形成 n 型或 p 型,對這種單晶結構的排列方式影響並不顯著。元素

半導體中的矽和鍺其原子間週期性的排列方式為鑽石結構(diamond structure),見圖 3-1(a)。圖中立方體內被五個原子佔據,稱為單位晶胞(unit cell),而 a 稱為晶格常數(lattice constant)。對矽而言,晶格常數的室溫

( K300° )值為 5.43Å。 共價鍵結 原子本身是由三種基本的粒子所組成:質子(proton)、中子(neutron),和

電子(electron)。再者,質子和中子構成所謂原子核(nucleus),而電子則

繞著原子核在特定軌道上運轉。根據波爾(Bohr)原子模型得知,第一層

(a)

⎟⎠⎞

⎜⎝⎛

41,

41,

41

z

y

x

⎟⎠⎞

⎜⎝⎛

21,0,0

a /2

a : 晶格常數

⎟⎠⎞

⎜⎝⎛ 0,0,

21

⎟⎠⎞

⎜⎝⎛ 0,

21,0

⎟⎠⎞

⎜⎝⎛

21,

21,

21

+4

+4

+4

+4+4

共價鍵結

(b)

圖 3-1 (a)矽的晶體結構,一般稱為鑽石結構,(b)鑽石結構中單位晶胞的二度空間表示法,顯示每

個矽原子在周圍均有四個相等距離且最鄰近的矽原子,而原子與原子間則形成共價鍵。

122 第 3 章 半導體二極體

軌道(習慣上稱 K 軌道)具有兩個電子,第二層軌道(L 軌道)具有八個電

子,而第三層軌道(M 軌道)有四個電子。於是,矽原子共有 14 個電子,

而矽原子核中有 14 個質子,兩者平衡的結果使得原子本身仍維持電中

性。習慣上,我們將一個原子中之最外層軌道稱為價軌道 (valence orbital)。矽、鍺、砷化鎵等半導體材料均具有四個價電子。此外要補充

說明一點由一個原子所擁有價電子的數目可大致判定此材料傳導

特性的好壞。像是最好的導體具有一個價電子,而最好的絕緣體則具有

八個價電子。 讀者應知道移去外層價電子所需的能量(此能量稱為游離能)要比移去

內層電子所需者要低。這代表內層電子較不易與外界發生反應,或說不

易與其他原子發生交互作用。於是當我們將許多原子聚集在一起形成晶

體時,可以不考慮原子核及內層電子,只需注意外層四個價電子的效應

即可。為了突顯這四個價電子的重要性,我們刻意將一個中性的矽原子

畫成中心為+4 的矽核(矽原子核加上十個內層電子)加上外圍的四個價電

子,見圖 3-1(b)。 讓我們重新回到圖 3-1(a),再次觀察鑽石結構之單位晶胞後,發現每

個矽原子在其周圍均有四個相等距離且最鄰近的矽原子。為了簡單且清

楚地表示這種現象,可將鑽石結構之單位晶胞畫成二度空間的圖形,見

圖 3-1(b)。又因每個原子在最外層軌道上有四個價電子,而每個原子與

它鄰近的四個原子共用這些價電子,這種價電子的共用稱為共價鍵結

(covalent bonding),而每個電子對構成共價鍵。另外,經由電子共用的

結果將使得每個原子在其周圍均具有八個價電子,正好對應晶格鍵結之

最大穩定性。歸納以上所述可得:在鑽石結構中,每個矽原子在其周圍

均有四個相等距離且最鄰近的矽原子,原子與原子間形成共價鍵結。

3.1.4 傳導載體

所謂的載體(carriers)是指可移動的荷電粒子;當然,荷電粒子的移動將

造成傳導電流(conduction current)。首先考慮一矽晶體在絕對溫度零度

( C273K0 °−= )時,所有的價電子被束縛在晶格點附近,也可以說被凍結

在它們各自所屬的價軌道上,對傳導毫無貢獻。於是,整個晶體中不存

在任何傳導電子(或稱自由電子),此時的矽晶體根本就是一個絕緣體。

然而溫度上升時,一些價電子可獲得足夠的能量跳脫價軌道;也就是

說,由於熱振動使得共價鍵可能被打斷,一旦鍵被打斷,電子則不受束

縛到處遊蕩,可參與電流傳導,稱為傳導電子(conduction electron),如

圖 3-2 所示。

矽、鍺、砷化鎵等半導體

材料均具有四個價電子。

每個矽原子周圍均有四個

相等距離且最鄰近的矽原

子,原子間形成共價鍵

結。

3.1 純質半導體 123

+4

+4

+4

+4+4

傳導電子

電洞

圖 3-2 半導體在溫度上升時,由於晶格熱振動使得共價鍵被打斷,產

生一傳導電子和一電洞。

價電子由於斷鍵變成了傳導電子,而在共價鍵上留下了一個空位,緊

接著這個空位亦可被鄰近的電子所填滿,於是填電子的行為就好像空位

在反方向移動,且此空位的傳導並不涉及傳導電子,因此將此空位視為

一個新的載體,稱為電洞(hole)。在電場之作用下,電洞帶正電與電子移

動方向正好相反。也就是說,由於

εqF ±= (3-2)

其中 q± 分別代表電洞或電子所帶的電荷量,且 C106.1 19−×=q (C 代表庫

倫),ε 代表電場強度(electric field intensity),其常用的因次是 cmV ,而

F 代表庫倫作用力。綜合以上所述:半導體於 K0 時形同絕緣體,溫度

上升造成斷鍵將產生一傳導電子和電洞。在電場的作用下,電子的受力

方向與電場相反,而電洞的受力方向則與電場相同。

3.1.5 能帶的觀念

每一種固態材料都有本身的能帶圖(energy band diagram),由能帶圖可以

看出材料的一些基本特性傳導或絕緣能力,以及吸光或放光特性

等。在固態物理(solid-state physics)這門課中,能帶的計算和分析為最重

要的單元之一。有關導體、外質半導體、和絕緣體的能帶圖及對材料傳

導能力的解釋等,由於不屬於我們這門課程範圍,在此不多說明。 雖然如此,我們還是把一些簡單的能帶觀念介紹給讀者。首先,由波

爾的原子模型可得知原子能階量化的觀念即一個孤立原子其軌道

半導體於 0K 時形同絕緣

體,溫度上升造成斷鍵將

產生一傳導電子和電洞。

124 第 3 章 半導體二極體

上的電子只有在一些特定的能量才能存在,這些分立的(discrete)的能量

稱之為能階(energy level),能階之間稱為能隙,電子在能隙的範圍內是

不允許出現的,所以能隙代表電子在能量空間中的禁區。請注意,以上

的敘述只能適用於一個孤立的原子。一旦我們將成千上萬的原子聚集在

一起形成晶體時,由於原子與原子之間複雜的交互作用(包括電子雲與電

子雲間的排斥力,電子雲與電子核間的吸引力等)遂使得原先單純的能階

擴展成能帶(energy bands)。 對鍺、矽等半導體而言,決定其物理特性的主要有兩個能帶。上方為

導電帶(conduction band),下方為價電帶(valence band), CE 為導電帶最

低值, VE 為價電帶最高值,而 gE ( VC EE −= )則為帶溝(bandgap),見圖

3-3。此圖我們將以淺顯易懂的方式向讀者解釋:在矽晶體中,外層軌道

的電子可以有兩種選擇能量較高者進入導電帶,成為傳導電子,能

量不足者留在價電帶成為價電子,但是不可能以帶溝間的能量存在於此

晶體系統中。至於半導體材料的帶溝能量大約在 1 電子伏特

(electronvolt,以 eV 表示)上下,例如矽在室溫時之 gE 值為 1.12eV,而

鍺為 0.66eV。而 J106.1)V1)(C106.1(eV1 1919 −− ×=×= (3-3)

其中 J 代表焦耳(Joule),為另一種度量能量的單位。 藉由此能帶表示法,可以再度解釋斷鍵產生載體的現象。在 0°K 時,

導電帶為空的,價電帶被電子所填滿,代表此時晶體中無任何傳導載

體,所有的電子(內層電子除外)均以價電子的身份出現,於是晶體毫無

傳導電子

電洞

EC

EV

價電帶

熱產生

導電帶

Eg

電子能量

圖 3-3 半導體的能帶圖。在 0K 時,其中價電帶被電子填滿,導電帶是

空的;當溫度超過 0K 時,價電子吸收熱能激發至導電帶形成電

子電洞對。而所謂的電洞則是指價電帶出現的空位。

能隙代表電子在能量空間

中的禁區。

能量較高者進入導電帶,

成為傳導電子,能量不足

者留在價電帶成為價電

子,但是不可能以帶溝間

的能量存在於此晶體系統

中。

3.1 純質半導體 125

傳導能力,相當於一絕緣體。無論如何,一旦溫度上升,電子受到熱擾

動就有機會往上跳,當電子一旦越過禁區跳到導電帶即形成傳導電子,

並且在價電帶留下一個空位,即所謂電洞。以上這種行為稱為載體的熱

產生 (thermal generation),且使得純質半導體中出現了電子電洞對

(electron-hole pair)。結論是,0K 時,價電帶被全部填滿,導電帶是空的,

晶體形同絕緣體。溫度上升,由於熱產生效應使得純質半導體中激發出

電子電洞對。而所謂的電洞則是指價電帶出現的空位。 這時候讀者可能會懷疑為什麼價電帶中的空位可以用粒子來處理?

又電洞傳導產生電流之意義為何?讓我們想像有一盛滿可樂的透明玻

璃瓶,只不過瓶頂出現一小氣泡,見圖 3-4(a)。現在將此玻璃瓶以極快

的速度倒立後,我們將看到氣泡由玻璃瓶的底部逐漸上升,見圖 3-4(b)。其實,這裡的可樂是指價電帶中的價電子,而氣泡就是指價電帶中的空

位(電洞)。再者,所謂「氣泡上升」一詞,只是為了簡化可樂在瓶中複

雜傳導行為的一種等效敘述法。也就是說,「電洞的移動」只不過是價

電帶中之價電子填補空位複雜行為的一種等效敘述法,目的是為了簡化

問題。 當然,如果這個實驗是針對一無氣泡存在充滿可樂的玻璃瓶來考慮的

話,則瓶倒立後將不會看到任何可樂分子的流動。意即,在價電帶被全

部填滿時,由於缺乏額外空位,使得價電子無法移動,或說電洞根本不

存在,且亦無電洞傳導現象發生。 以上對於空位的三種說法均只是觀念性的,經由量子力學的嚴密處

理,證實此空位確實可視為一個帶正電的荷電載體,且實驗上經由霍爾

氣泡

(b)(a) 圖 3-4 價電帶中出現空位時,價電子的傳導行為可類比盛滿可樂的玻

璃瓶中出現氣泡時的行為。

「電洞的移動」不過是價

電帶中價電子填補空位複

雜行為的等效敘述法。

0K 時,價電帶全部填滿,

導電帶是空的,晶體形同

絕緣體。溫度上升,由於

熱產生使得半導體激發電

子電洞對。

126 第 3 章 半導體二極體

測量(Hall measurement)亦得到證實,故以後我們直接將此空位視為荷正

電的粒子,並簡稱電洞。

3.1.6 純質載體濃度

所謂理想的純質半導體是指沒有雜質摻入,沒有缺陷產生,且具有完美

晶體結構的半導體。但是事實上,這種理想材料是不存在的。於是真實

世界中對於純質半導體的定義應修正如下:利用現代半導體製作技術,

將雜質和缺陷之數目減少至最低程度者稱為純質半導體。 根據圖 3-3 的觀念,純質半導體中產生一傳導電子的同時,必然在價

電帶伴隨一空位的出現。於是,對於純質半導體而言,導電帶之電子濃

度 n 必與價電帶之電洞濃度 p 相等,也就是 inpn == (3-4)

其中 in 稱為純質載體濃度(intrinsic carrier concentration)。在此濃度之因

次一律使用 1/立方公分( 3cm1 )。

根據半導體理論可推導出

⎟⎟⎠

⎞⎜⎜⎝

⎛−=

kTE

TAETn ggi exp),( 3*2 (3-5)

其中 *A 為一與材料有關的常數,T 代表絕對溫度,k 則是波茲曼常數

(Boltzmann constant),其值為 KJ1038.1 23−×=k 。此外,在室溫(300K)時,

eV0259.0J1014.41 21 =×= −kT (3-6) 對於矽在 300K 而言, 310 cm1045.1 ×=in ;而鍺在 300K 時, =in 2.4×

313 cm10 。其實,此數值亦可由(3-5)式解釋:帶溝值 gE 愈小,則純質載

體濃度 in 愈大,見表 3-2。 由於鍺的 gE 值較矽為小,故鍺的 in 值將遠大於矽。另一方面,兩者的

in 值差了三個數量級;代表在室溫下,鍺晶體的傳導能力將遠比矽晶體

表 3-2 常見半導體在室溫,帶溝大小與純質載體濃度之比較。

材料 Eg(eV) in (1/cm3)

Ge 0.66 13104.2 ×

Si 1.12 101045.1 ×

GaAs 1.42 61079.1 ×

帶溝值 Eg愈小,則純質載

體濃度 ni愈大。

對於純質半導體而言,導

電帶之電子濃度 n 必與價

電帶之電洞濃度 p 相等。

3.2 外質半導體 127

好。但就整流(rectification)應用而言,鍺晶體之傳導能力佳也代表鍺製

半導體元件的漏電流(leakage current)較大,為其重大缺點。這也是為什

麼自 1960 年代起,矽晶體取代鍺成為製造二極體、電晶體等元件之主

要材料的原因之一。 附帶一提,由於室溫時,純質半導體產生的電子電洞對數目極少;以

矽為例,僅達 310 cm10 左右。故室溫時純質半導體的電性類似一良好的

絕緣體。

3.2 外質半導體

半導體最大的好處就是可以摻雜(doped),可以摻雜成 p 型或 n 型,然後

可做 pn 接面,npn 電晶體等各種不同的電子元件。若將週期表中三價或

五價的原子加到純的半導體中就會形成不純的半導體,稱為外質

(extrinsic)半導體。

3.2.1 n型半導體

如果摻入的雜質原子是五價的,例如:磷、砷、及銻,就會得到如圖 3-5(a)所示的晶體結構。雜質原子中的四個價電子會佔據原來矽原子應佔的四

個共價鍵,而第五個價電子變為一幾乎不受束縛的電子,事實上第五個

價電子之游離能(ionization energy)極小,以磷摻入矽為例,此游離能約

0.025eV;於是,這個五價原子提供了一個幾乎不受束縛的傳導電子,我

們稱此雜質原子為施體(donor)。注意,當此雜質原子所提供的第五個價

電子一旦游離,則原來的中性原子就變為一個帶正電的離子(ion),即 −+ +→ eNN DD (3-7)

其中 DN 為施體原子濃度(donor atom concentration),而 +DN 則為施體離子

濃度(donor ion concentration)。

3.2.2 p型半導體

如果摻入的雜質是三價的,例如:硼、鎵、及銦,就會得到如圖 3-5(b)所示的晶體結構。所提供的雜質只能填滿三個共價鍵,而在第四個鍵上

留下了一個空位,也就是電洞。故三價雜質提供了電洞,電洞可以接受

電子造成傳導現象,因而此類的雜質稱為受體(acceptor)。一旦受體接受

電子,則原為中性的三價原子立刻變為帶負電之離子,即

當此雜質原子所提供的第

五個價電子一旦游離,則

原來的中性原子就變為一

個帶正電的離子。

一旦受體接受電子,則原

為中性的三價原子立刻變

為帶負電之離子。

選擇性閱讀

128 第 3 章 半導體二極體

(a)

傳導電子

+5

+4

施體

Si

P+4Si

+4Si

+4Si

(b)

+3

+4

受體

Si

B+4Si

+4Si

+4Si

電洞

圖 3-5 (a)n 型矽摻雜施體(磷),(b)p 型矽摻雜受體(硼)。

−− →+ AA NeN (3-8)

其中 AN 為受體原子濃度(acceptor atom concentration),而 −AN 代表受體離

子濃度(acceptor ion concentration)。

3.2.3 大量作用定律

在熱平衡(thermal equilibrium)時,經由半導體理論可以證明

2innp = (3-9)

即電子電洞濃度之乘積為一與溫度有關之常數。換言之,只要在熱平衡

狀態下,不論純質或外質半導體,大量作用定律(mass-action law)恆成立。

3.2.4 電荷中性

電荷中性條件 考慮在一半導體樣本中,正電荷總濃度是 pN D ++ ,負電荷之總濃度是

nN A +− ;其中, +DN 代表施體離子濃度, −

AN 代表受體離子濃度。

由於半導體呈電中性,故正電荷之總濃度與負電荷之總濃度必須相

等,即

nNpN AD +=+ −+ (3-10)

稱之為電荷中性(charge neutrality)。若 DN 代表施體原子濃度, AN 代表

受體原子濃度,假設完全游離 (complete ionization),即 DD NN =+ ,

AA NN =− ,則

在熱平衡狀態下,不論純

質或外質半導體,大量作

用定律恆成立。

半導體呈電中性,故正電

荷之總濃度與負電荷之總

濃度必須相等。

3.3 載體遷移過程 129

nNpN AD +=+ (3-11)

平衡時的載體濃度 若一半導體樣本( in 已知)摻雜一部份施體( DN 已知),再摻雜一部份受體

( AN 已知),則在熱平衡狀態下,電子及電洞濃度各為多少?因為不論如

何摻雜,在熱平衡時,大量作用定律與電荷中性條件必須同時成立,即

滿足 2innp = 和 nNpN AD +=+ 。由此二式合併為

0)( 22 =−−+ iDA nnNNn (3-12)

解得

2

4)()( 22iDAAD nNNNNn +−+−

= (3-13)

2

4)()( 22iDADA nNNNNp +−+−

= (3-14)

對 n 型半導體而言, 0=AN 且 pn >> ,因此

n ≅ DN (3-15)

p ≅D

i

Nn2

(3-16)

對 p 型半導體而言, 0=DN 且 np >> ,因此

p ≅ AN (3-17)

n ≅A

i

Nn2

(3-18)

例題 1

有一矽樣本,其施體原子濃度為 314 cm102×=DN ,且受體原子濃度為314 cm103×=AN ,試求在 300K 時的傳導電子濃度。

解: 由大量作用定律 2innp = 和電荷中性 AD NnNp +=+ 二式聯立,代

值後可得 210 )1045.1( ×=np 和 1414 103102 ×+=×+ np ,故聯立解得

p ≅ 314 cm10 和 n ≅ 36 cm101.2 × 。

3.3 載體遷移過程

在半導體內外加一電場,則帶正電或負電的載體會受到庫倫作用力的影

響而產生電流,這種電流稱為遷移電流(drift current)。若半導體內部載

體濃度分佈不均,則載體將由濃度高向濃度低的地方移動,此種傳導引

選擇性閱讀

130 第 3 章 半導體二極體

起之電流稱為擴散電流(diffusion current)。

3.3.1 遷移速度與遷移率

在無外加電場時,電子作隨意熱運動,如圖 3-6(a)。至於每個單獨電子

的熱運動可視為經由晶格原子一連串碰撞或散射所引發之行為,而這種

電子的熱運動經歷一段足夠長的時間之後,其電子的淨位移為零。 若在晶體中加一電場,則電子會在二次碰撞期間沿受力方向加速,如

圖 3-6(b)所示,因此產生了一額外的速度分量,此分量疊加在原有的熱

速度上,而此一額外的速度分量即被稱為遷移速度(drift velocity)。故電

子的遷移速度 nv 可表示為

εμnnv = (3-19)

其中ε 代表電場強度的大小,而 nμ 則是電子遷移率(electron mobility)。

遷移率是描述載體傳導時一個非常重要的物理量,代表一粒子受外加

電場影響的程度。同理

εμ ppv = (3-20)

其中 pμ 代表電洞遷移率。對室溫矽而言, nμ ≅ Vcm1300 2 -sec,

pμ ≅ Vcm500 2 -sec。此外,需注意 nv 之方向與電場相反,而 pv 之方向

則與電場相同。 參考圖 3-7(a),顯示在不同施體濃度下,矽的電子遷移率對溫度之關

(a)

(b)

淨位移向右

ε外加電場

圖 3-6 在一半導體內電子運動路徑示意圖:(a)隨意熱運動,(b)由於隨

意熱運動及外加電場共同產生的合成運動。

3.3 載體遷移過程 131

係。圖中顯示,在室溫附近,溫度上升,由於晶格振盪劇烈,使得遷移

率下降。此外,當溫度固定時,施體濃度增加,則遷移率下降。 考慮矽的遷移速度對電場之關係,如圖 3-7(b)所示。低電場時,遷移

率幾乎為常數,使得遷移速度與電場成正比關係。但是在高電場區時,

電場再上升,由於遷移率下降,導致載體運動速度達到飽和,稱為高電

場速度飽和效應。在矽中電子飽和速度(saturation velocity)約為 710 (cm/sec)。

3.3.2 電阻係數

考慮一半導體樣本,如圖 3-8 所示。電子遷移電流密度(current density) nJ

εμnn

n nqAIJ == (3-21)

電洞遷移電流密度 pJ

εμ pp

p pqAI

J == (3-22)

全部電流密度 J

εμμ )( pnpn pqnqJJJ +=+= (3-23)

(a)

sec)/Vcm( 2 −nμ

104

103

102

50100 200 300 500 1000 T(K)

1019

1018

1017

cm−3ND = 1016

(b)

εμ ppv =

εμnnv =

4104×

vp

vn

ε (V/cm)

107

vn ,vp

(cm/sec)

圖 3-7 (a)在不同施體濃度下,矽之電子遷移率對溫度之關係(本數據取自參考書目 4),(b)矽之遷

移速度對電場關係圖。

溫度上升,由於晶格振盪

劇烈,使得遷移率下降。

132 第 3 章 半導體二極體

I = In + Ip

ε

A=面積

圖 3-8 在一均勻半導體樣本中之電流傳導,其中 ε 代表電場,而 In和

Ip分別為電子和電洞之遷移電流。

括號內之量定義為導電率(conductivity)σ ,

pn pqnq μμσ += (3-24)

一半導體所對應之電阻係數 ρ 則定義為σ 的倒數

)(

11

pn pnq μμσρ

+==Δ (3-25)

對於 n 型半導體而言,

nnqμ

ρ 1≅ (3-26)

對於 p 型半導體而言,

ppqμ

ρ 1≅ (3-27)

例題 2

利用本節中電阻係數的公式評估下列兩種半導體材料其電阻係數之溫

度效應 (a)外質半導體。 (b)純質半導體。

解:

(a) 考慮一個摻雜 DN 完全游離的 n-型半導體,則 n ≅ DN 。因此,電

阻係數之表示式如下:

ρ ≅nnqμ

1 ≅nDqN μ

1

3.4 載體擴散過程 133

上式顯示在室溫附近,溫度 T 上升, nμ 下降,於是 ρ 增加。

(b) 將(3-4)代入(3-25)式可得

)(

1

pni qn μμρ

+=

雖然 T 上升, )( pn μμ + 下降,但是 in 卻強烈增加( in 為溫度之強

烈函數),導致 ρ 下降。

3.4 載體擴散過程

3.4.1 擴散電流密度

在半導體材料中,若載體濃度在空間上有變化,如圖 3-9,則會產生另

一種電流分量,也就是說,載體會由高濃度區向低濃度區移動,此分量

稱為擴散電流。經由半導體理論可推導出電子擴散電流密度 nJ ,

dxdnqDJ nn = (3-28)

其中 nD 代表電子擴散率(diffusivity)。同理,電洞擴散電流密度 pJ ,

dxdpqDJ pp −= (3-29)

其中 pD 代表電洞擴散率。何謂擴散?我們說所謂擴散即是在濃度不均

的情況下載體作隨意熱運動的結果。至於擴散電流的大小正比於載體濃

度之空間微分。讀者通常對(3-28)和(3-29)二式中的正負號會有疑問,這

(a)

x

n (x) Jn

電子

(b)

x

p (x) Jp

電洞

圖 3-9 半導體材料其載體濃度在空間上有變化將產生擴散電流:(a)電

子濃度分佈,(b)電洞濃度分佈。

載體會由高濃度區向低濃

度區移動,此分量稱為擴

散電流。

擴散電流的大小正比於載

體濃度之空間微分。

選擇性閱讀

134 第 3 章 半導體二極體

點可以參考圖 3-9 的解釋。首先由圖 3-9(a)可看出,電子向 x− 方向擴散,

於是電子流向 x− 方向,但電子擴散電流密度 nJ 則向 x+ 方向。而本圖

)(xn 之微分為正值,故將 nJ 和 dxdn 代入(3-28)式,在等號左右兩側的

極性是相符的。同理,讀者亦可嘗試以圖 3-9(b)解釋(3-29)式電洞擴散電

流密度公式中之負號。

3.4.2 愛因斯坦關係式

愛因斯坦推導出

q

kTDD

p

p

n

n ==μμ

(3-30)

其中 q 為基本電荷,T 為絕對溫度(K),而 k 則是波茲曼常數(Boltzmann

constant), KJ1038.1 23−×=k (3-31)

習慣上,吾人可將 qkT 定義為熱電壓(thermal voltage) TV ,即溫度等

效對應之電壓值,

q

kTVT = (3-32)

在室溫附近, TV 值約為 25mV。而(3-30)式則是愛因斯坦關係式,注意

此式描述分別代表擴散及遷移之二重要參數擴散率與遷移率間的

關係。

3.4.3 電流密度方程式

在一個濃度變化的半導體中,如果又有電場出現時,則擴散及遷移電流

將同時產生,此時淨電子電流密度 nJ 為(3-21)式遷移電流與(3-28)式擴散

電流的合成,

dxdnqDnqJ nnn += εμ (3-33)

同理,淨電洞電流密度 pJ 為

dxdpqDpqJ ppp −= εμ (3-34)

故全部傳導電流密度(conduction current density) J pn JJJ += (3-35)

愛因斯坦關係式描述分別

代表擴散及遷移之二重要

參數擴散率與遷移率

間的關係。

3.4 載體擴散過程 135

3.4.4 霍爾效應

欲直接測量載體濃度最直接的方法就是霍爾效應,如圖 3-10 所示。而且

霍爾測量 (Hall measurement)亦是證明電洞確實可視為一荷電載體

(charge carrier)最令人信服的一種實驗方法。 因為在穩定狀態下, y 方向不可能有電流,也就是 y 方向電力 yqε 與

磁力 zx Bqv 必須平衡,即

zxy Bqvq =ε (3-36)

其中 xv 為電荷在 x 方向的速度, zB 為 z 方向的磁通密度(magnetic flux density),而 y 方向建立之電場 yε 稱為霍爾電場(Hall field),

zxy Bv=ε (3-37)

而端電壓(terminal voltage)則稱為霍爾電壓(Hall voltage) HV 。由於 HV 為

電場 yε 沿 y 方向的定積分,於是

dV yH ε= (3-38)

另外,假設樣本為 p 型半導體

zpHzp

zxy BJRBpqJ

Bv =⎟⎠

⎞⎜⎝

⎛==ε (3-39)

也就是霍爾電場正比於電流密度與磁通密度之乘積,其正比係數定義為

霍爾係數(Hall coefficient) HR ,

A=面積

d

V

x

I

z

Bz

y

圖 3-10 利用霍爾效應測量載體濃度的基本構裝,其中 Bz為外加的磁

通密度。

欲直接測量載體濃度最直

接的方法就是霍爾效應。

136 第 3 章 半導體二極體

pq

RH1= (3-40)

至於載體濃度 p 則由(3-38)及(3-39)

dBpqA

IdBJpq

dV zzpyH === 1ε (3-41)

得載體濃度 p 為

AqVdIB

pH

z= (3-42)

例題 3

在一個一度空間半導體樣本中的電洞濃度分佈如圖 3-11 所示。 (a) 令此樣本的淨電流為零並計算所對應之內建電場(built-in electric

field)。 (b)若 410)0( =opp ,計算在 0=x 和 wx = 閘之內建電位差 biV 。

解:

(a) 由(3-34)式,

0)( =−=dxdpqDpqJ ppnetp εμ

解得內建電場,

dxdp

pV

dxdp

qkT

pT== 1ε

代入圖中的斜率值,

⎪⎪⎩

⎪⎪⎨

<<+=

wx

wxaxp

aV

x

T

,0

0,)0()(ε

0 w x

po

p (0)p (x)

a=斜率

圖 3-11 例題 3:電洞濃度分佈圖。

3.5 pn接面的物理特性 137

x

w0

ε (x)

)0(paVT

0paVT

圖 3-12 例題 3:(a)之電場分佈圖。

可繪出電場分佈如圖 3-12 所示。

(b) ∫∫ +−=−=

=

=

w Twx

xbi dxaxp

aVdxxV00 )0(

)(ε

mV230)0(

ln 0 =−=ppVT

3.5 pn接面的物理特性

3.5.1 熱平衡狀態與能位障的觀念

首先考慮將 p 型半導體與 n 型半導體接觸形成 pn 接面(junction)。此時

載體開始流動並產生擴散電流,只要載體一動,即出現空間電荷(space charge),於是內建電場產生,遷移電流開始建立,直到所建立之遷移電

流與原始擴散電流相等,系統即達平衡。而 pn 平衡時由內建電場所建

立之電位差稱為內建電位(built-in potential) biV 。平衡時之靜電位分佈

)(xV 、電洞的位能分佈 )(xqV 及電子的位能分佈 )(xqV− 見圖 3-13。

pn接面於平衡時在接面的左右兩側(見圖 3-13(a))分別出現帶負電與帶

正電的空間電荷區(space charge region),此區間代表元件在走向平衡的

過程中曾經流失大量載體,造成此區間載體濃度極低,吾人稱之為空乏

區(depletion region)。此外,讀者須注意空乏區左側的電荷主要是受體離

子,其密度為 −AN ,而空乏區右側電荷主要是施體離子,其密度為 +

DN 。

至於圖 3-13(c)和(d)中的位能分佈則顯示,在熱平衡狀態達成時,p 型

pn 平衡時由內建電場所

建立之電位差稱為內建電

位。

元件在走向平衡的過程中

曾經流失大量載體,造成

此區間載體濃度極低,稱

之為空乏區。

選擇性閱讀

138 第 3 章 半導體二極體

(b)

(a)

− +

− +

空乏區

電場 ε

p n

−xp xn x

Vbi

V (x)

(c)

x

−qV(x)

qVbi

(d)

電子

qV(x)

−xp xn x

qVbi

電洞

圖 3-13 (a)pn 接面,圖中顯示空乏區,(b)靜電位分佈 V(x),(c)電洞的位能+qV(x)分佈,(d)電子

的位能分佈 −qV(x)。

半導體的大量多數載體(majority carrier)電洞多半位於 “山谷”,其往右看

到一個能位障,而被能位障擋住了。同理,n 型半導體中則有大量的多

數載體電子位於圖 3-13(d)之 “山谷” 區,往左亦看到一能位障。這就是

pn 接面在熱平衡狀態的行為。

3.5.2 內建電位

平衡時,橫越 pn 接面空乏區兩端之靜電位之差定義為內建電位 biV 。平

衡時,令淨電流為零,

0)( =−=dxdpqDpqJ ppnetp εμ (3-43)

則內建電場ε 為

dxdpV

pdxdV

T1=−=ε (3-44)

依照內建電位 biV 之定義(參考圖 3-13)

∫−Δ =−=−==

n

p

x

xpnbi dVxxVxxVV )()(

3.5 pn接面的物理特性 139

)()(

lnn

pT

x

xT xxpxxp

Vp

dpV n

p =−=

=−= ∫−

no

poT p

pV ln= (3-45)

因為 pop ≅ AN , nop ≅D

i

Nn2

,故

2lni

DATbi n

NNVV = (3-46)

在(3-45)式中, pop 代表熱平衡時 p 型半導體之多數載體濃度,而 nop 則

為熱平衡時 n 型半導體之少數載體濃度。由此,讀者亦可了解 non 及 pon

之意義。

3.5.3 空乏區的電性分析

柏桑方程式 欲了解空乏區之特性,必須解柏桑方程式(Poisson’s equation):

)(2

2

npNNqdxd

dxVd

ADS

−+−∈

−=−= −+ε (3-47)

其中 S∈ 代表半導體的介電係數(permittivity),對矽而言, =∈S 1.05 × cmF10 12− 。若假設所有的施體與受體均游離,則柏桑方程式變為

)(2

2

npNNqdxd

dxVd

ADS

−+−∈

−=−= ε (3-48)

整體空間電荷中性 再假設 pn 接面屬於突變接面(abrupt junction),即雜質分佈在接面左側為

定值 AN 且接面右側為定值 DN ,見圖 3-14(a)。因為半導體的整體空間電

荷中性(overall space charge neutrality)必須維持,在 p 側每單位面積之全

部負空間電荷數必精確等於在 n 側每單位面積之全部正空間電荷數,即 nDpA xNxN = (3-49)

而全部空乏區寬度(depletion layer width) dW 為

pnd xxW += (3-50)

由(3-49)及(3-50)得

dDA

An W

NNNx+

= (3-51)

p 側每單位面積之全部負

空間電荷數必精確等於在

n 側每單位面積之全部正

空間電荷數。

ppo代表熱平衡時p型半導

體之多數載體濃度,而 pno

則為熱平衡時 n 型半導體

之少數載體濃度。

140 第 3 章 半導體二極體

(a)

(b)

(c)

−x

ND

−xp

−NA

xn

AD NN −

空乏區

ε (x)

biV=面積

x

−εmax

V (x)

x

Vbi

Wd

p n

+ +

(f)

0x

ε (x)Wd

−εmax(e)

biV=面積

V (x)

Vbi

x0 Wd

0 x

ND

−NA

AD NN −

dn Wx ≅−

p+ n

(d)−

+ +

空乏區

圖 3-14 (a)在熱平衡時空乏區中之空間電荷分佈,(b)電場分佈,面積代表內建電位,而εmax代表

空乏層內之最大電場,(c)靜電位分佈,(d)(e)(f)單側突變接面(NA>>ND)之電荷、電場及電

位分佈,其中 p+代表 p 型區為重摻雜。

dDA

Dp W

NNNx+

= (3-52)

空乏層寬度計算 由柏桑方程式,

S

AqNdx

Vd∈

=2

2

0<≤− xx p (3-53)

3.5 pn接面的物理特性 141

S

DqNdx

Vd∈

−=2

2

nxx ≤<0 (3-54)

對(3-53)及(3-54)二式積分並置入邊界條件 ε ( pxx −= ) 0= 及 ε ( nxx = )

0= ,得

)()( pS

A xxqNx +∈

−=ε 0<≤− xx p (3-55)

)()( nS

D xxqNx −∈

=ε nxx ≤<0 (3-56)

而空乏區中之最大電場 maxε

S

pA

S

nDxqNxqN

∈=

∈=maxε (3-57)

將(3-55)及(3-56)二式對整個空乏區積分即可得內建電位 biV :

dS

nD

S

pA

n

x

px

x

xbi

WxqNxqN

dxxdxx

dxxV

n

p

n

p

max

22

0

0

21

22

)()(

)(

ε

εε

ε

=∈

+∈

=

−−=

−=

∫∫

側側

2

221

dDA

DA

sd

s

pA WNN

NNqWxqN

⎟⎠

⎞⎜⎝

⎛+∈

=∈

= (3-58)

可解出熱平衡狀態或零偏壓時的空乏層寬度

biDA

Sd V

NNqW ⎟

⎞⎜⎝

⎛ +∈

= 112 (3-59)

其中

2lni

DATbi n

NNVV = (3-60)

單側突變接面 在突變接面的一側其雜質濃度遠較另一側為高時,即稱為單側(one-sided)突變接面。現在考慮 DA NN >> 之情況,見圖 3-14(d)(e)(f)三圖。而(3-59)

式變為

dW ≅D

biS

qNV∈2 (3-61)

142 第 3 章 半導體二極體

Wd

(a)

p n− +

− +

VF

p n− +

− +

Wd

VR

+

+

+

+

(b)

圖 3-15 一 pn 接面在不同偏壓情況下空乏區寬度:(a)順向偏壓,(b)逆向偏壓。

結論是,摻雜濃度愈高,則空乏層寬度愈窄。此外,亦發現空乏層寬度

與橫越接面電位差之開根號成正比。至於此電位差則會受到外加偏壓的

影響而發生變化。 外加偏壓的 pn 接面 若在 p 側相對 n 側加以正電壓 FV ,則 pn 接面變為順向偏壓

(forward-biased),如圖 3-15(a)所示。此時橫越接面之全部靜電位(total electrostatic potential)變為 Fbi VV − ;因此,順偏將使空乏區寬度縮小,其

值依據下式決定:

)(2Fbi

D

Sd VV

qNW −∈≅ (3-62)

若在 n 側相對 p 側加以正電壓 RV ,則 pn 接面變為逆向偏壓

(reverse-biased),如圖 3-15(b)所示。此時橫越接面之全部靜電位變為

Rbi VV + ;因此,逆偏將使空乏區寬度擴張,其值依據下式決定:

)(2Rbi

D

Sd VV

qNW +∈≅ (3-63)

若合併以上二式,可將 dW 改寫為

)(2 VVqN

W biB

Sd −∈≅ (3-64)

其中 BN 代表輕摻雜一側的濃度,V 則代表外加偏壓。而順偏時, FVV = ;

逆偏時, RVV −= 。假設 DA NN >> ,則 BN 即為 DN ;假設 AD NN >> ,則 BN即為 AN 。結論是,外加偏壓與摻雜濃度為決定空乏層寬度之兩重要因素。

摻雜濃度愈高,則空乏層

寬度愈窄。空乏層寬度與

橫越接面電位差之開根號

成正比。

順偏將使空乏區寬度縮

小。

逆偏將使空乏區寬度擴

張。

3.5 pn接面的物理特性 143

3.5.4 少數載體分佈

接面定律 根據半導體理論推導可得

TVVnonn epxp =)( (3-65)

TVVpopp enxn =− )( (3-66)

此二式稱為接面定律(law of the junction),主要是描述空乏區邊界之少數

載體濃度與外加電壓之關係。 順向偏壓 pn 接面於順向偏壓時,平衡狀態破壞,產生少數載體入射。入射的少數

載體在離開邊界後即與多數載體復合,其分佈呈指數衰減。此分佈係

1949 年由蕭特萊(Shockley)利用半導體連續方程式解出

pn LxxkTqVnonon eeppxp )()1()( −−−+= (3-67)

見圖 3-16(a)。其中

kTqVnonn epxp =)( (3-68)

non pp =∞)( (3-69)

上式中 ppp DL τ= ,且 pL 代表電洞在 n型半導體內的少數載體擴散長

度 (diffusion length), pτ 為電洞在 n 型半導體內的少數載體生命期

(lifetime)。其中 pL 的物理意義為入射電洞與背景電子發生復合之前所行

經的平均距離,而 pτ 則代表入射電洞與背景電子發生復合之前所經歷的

平均時間。另一方面,由此圖可看出順偏時將有超額的少數載體分別儲

存於 p -型和 n -型半導體內,此現象稱為少數載體儲存效應,見圖中 p型中的電荷 nQ 和 n 型中的 pQ 。同理,在 p 型半導體內

np LxxkTqVpopop eennxn )()1()( +−+= (3-70)

其中 nnn DL τ= 。

逆向偏壓 在逆偏時,一般 TVV >> ,故少數載體分佈可近似為

]1[)( )( pn Lxxnon epxp −−−= (3-71)

]1[)( )( np Lxxpop enxn +−= (3-72)

Lp 的物理意義為入射電洞

與背景電子發生復合之前

所行經的平均距離,而τp

則代表入射電洞與背景電

子發生復合之前所經歷的

平均時間。

接面定律主要是描述空乏

區邊界之少數載體濃度與

外加電壓之關係。

144 第 3 章 半導體二極體

(a)

Lp

pno

pn(xn)

pn(x)

Qp=面積

Ln

)( pp xn −

np(x)

VF

0x

空乏區

濃度

npo

xn−xp

p n+−+−

Qn=面積

(b)

VR

濃度

0xn−xp x

npo

)(xnp

p n+−+−

++

−−

空乏區

pno

)(n xp

圖 3-16 (a)順向偏壓時的少數載體分佈,(b)逆向偏壓時的少數載體分

佈。

3.5 pn接面的物理特性 145

其分佈曲線顯示於圖 3-16(b)。本圖隱藏的意義為在逆偏狀態下將產生少

數載體的擴散電流,此即反向飽和電流的物理成因。 電荷控制方程式與儲存時間 根據半導體物理可導出 pn接面於順偏時的傳導電流 I 與 n-型半導體內

的儲存電荷 pQ (假設 DA NN >> ,於是 np QQ >> )間之關係為

p

pQI

τ= (3-73)

此即二極體之電荷控制方程式(charge control equation),其中 pτ 為電洞

在 n 型半導體內的少數載體生命期。(3-73)式陳述儲存電荷之量為電流

和少數載體生命期之乘積。其物理意義如下:若電洞之生命期愈長,則

注入 n 型區之電洞在與電子發生復合之前可擴散得愈遠;因此,儲存的

電洞就愈多。 此外,值得一提的是,二極體順偏時,即有少數載體入射,因而產生

少數載體儲存效應。當二極體由順偏變至逆偏時,儲存的少數載體必須

被移去,移去儲存少數載體所需之時間,稱為儲存時間(storage time)。

例題 4

在推導 pn 接面內建電位 biV 時,曾令電洞的淨電流密度為零。請參考

(3-33)式,若令電子的淨電流密度為零,試問結果為何?是否與(3-46)式的結果一致?

解:

由(3-33)式,令 0)( =netnJ ,則

0)( =+=dxdnqDnqJ nnnetn εμ

解得電場為

dxdnV

ndxdV

T1−=−=ε

n

dnVdV T=

於是

po

noT

p

nT

x

xT

x

xbi nn

Vxxnxxn

Vn

dnVdVV n

p

n

pln

)()(ln =

−==

=== ∫∫ −−

代入 Dno Nn ≅ , Aipo Nnn 2≅ ,可得

儲存電荷之量為電流和少

數載體生命期之乘積。

在逆偏狀態下將產生少數

載體的擴散電流,此即反

向飽和電流的物理成因。

當二極體由順偏變至逆偏

時,儲存的少數載體必須

被移去,移去儲存少數載

體所需之時間,稱為儲存

時間。

146 第 3 章 半導體二極體

2lni

DATbi n

NNVV =

例題 5

考慮一矽製單側突變接面,其摻雜濃度分別為 319 cm10=AN 和316 cm10=DN ,計算在 300K 零偏壓時的空乏層寬度。

解:

內建電位 biV 為

V86.0)1045.1(

1010ln025.0 210

1619

=××=biV

空乏層寬度 dW 為

3370m337.0cm1037.32 5 ==×=∈

≅ − μD

biSd qN

VW Å

上式中 orS ∈∈=∈ ,其中 r∈ 為介電常數(dielectric constant),而 o∈ 則

為真空的介電係數。對矽而言, 7.11=∈r ,故

cmF1005.1101036

17.11 1229 −−− ×=×××=∈πS

例題 6

現有一矽製突變 pn 接面: 315 cm105×=AN , 317 cm105×=DN 。試畫

出中性區以及空乏區之載體分佈。

解:

n,p

1020

1015

1010

105

100

xxn−xp

pnonpo

pponno

空乏區 np(1/cm3)

圖 3-17 例題 6:載體分佈圖。

3.6 pn接面的電流電壓特性 147

315 cm105×=≅ Apo Np , 342

cm102.4 ×=≅A

ipo N

nn

317 cm105×=≅ Dno Nn , 322

cm102.4 ×=≅D

ino N

np

由圖 3-17,讀者得知一旦進入空乏區之後,載體濃度將急速下降,

但絕非為零。

3.6 pn接面的電流電壓特性

3.6.1 理想二極體方程式

1949 年蕭特萊 (Shockley)在發明雙載子接面電晶體 (bipolar junction transistor)的同時亦推導出 pn 接面二極體(junction diode)的電流電壓特

性。 蕭特萊在推導 pn 接面之理想電流電壓特性的時候,首先假設空乏區

與中性區有突變邊界。第二,電壓全部降在空乏區,意即只考慮擴散電

流,不考慮遷移電流。第三,在空乏區邊界之載體濃度由橫越接面之靜

電位差決定。第四,順偏時,載體滿足低注入條件(low-injection condition)。最後,不考慮空乏區內之產生及復合電流。先前提過蕭特萊由半導體連

續方程式解出

pn LxxkTqVnonon eeppxp )()1()( −−−+= (3-74)

np LxxkTqVpopop eennxn )()1()( +−+= (3-75)

在 nxx = 和 pxx −= 處,

)1()()( −=−==

kTqV

p

nop

xx

npnp e

LpqD

dxxdpqDxJ

n

(3-76)

)1()(

)( −==−−=

kTqV

n

pon

xx

pnpn e

LnqD

dxxdn

qDxJp

(3-77)

全部電流 J 為

)1(

)()()()(

−=

−+=+=kTqV

S

pnnpnnnp

eJ

xJxJxJxJJ (3-78)

其中飽和電流密度 SJ 為

選擇性閱讀

148 第 3 章 半導體二極體

(a)

I

+V

陽極(p側)

陰極(n側)

(b)

I

V0

IS

圖 3-18 理想二極體的電路符號與電流電壓特性曲線。

2i

An

n

Dp

p

n

pon

p

nopS n

NLD

NLD

qL

nqDL

pqDJ ⎟⎟

⎞⎜⎜⎝

⎛+=+= (3-79)

或是 )1( −= kTqV

S eII (3-80)

其中

⎟⎟⎠

⎞⎜⎜⎝

⎛+=

An

n

Dp

piS NL

DNL

DAqnI 2 (3-81)

(3-80)式中 I 代表二極體由 p 側流至 n 側之電流,V 為 p 側相對於 n 側之

電壓,q 為電子電荷,k 為波茲曼常數,T 代表絕對溫度。電流 I 與電壓

V 的關係畫在圖 3-18(b)。可看出順向偏壓( 0>V )時,電流急劇上升;而

逆向偏壓時,電流與電壓幾乎無關且 I ~− SI− ,於是 SI 稱為反向飽和電

流(reverse saturation current)。一般低功率二極體, SI 為一數值極小的電

流,其值約為 1510− A。(3-81)式反向飽和電流的公式顯示 SI 主要與接面

面積(junction area)A 和純質載體濃度的平方 2in 成正比。

定義一與溫度有關的參數 TV ,稱為熱電壓(thermal voltage):

q

kTVTΔ= (3-82)

室溫時, TV 約為 0.025V 或 25mV。於是(3-80)式可表示成

)1( −= TVVS eII (3-83)

稱為理想二極體方程式(ideal diode equation)。

3.6 pn接面的電流電壓特性 149

(a)

T1T2

T1T2

0

V

12 TT >

I

(b)

I

Ge

Si

0V

Ge

Si

圖 3-19 (a)二極體特性之溫度效應,其中 T2 > T1,(b)矽與鍺製半導體二極體其特性之比較。

3.6.2 溫度與材料對特性的影響

由半導體理論可推導出反向飽和電流 SI 為溫度的強烈函數。而實驗上發

現溫度每上升約 10°C,飽和電流 SI 將會加倍。於是

10)(12

122)()( TTSS TITI −⋅≅ (3-84)

再者,二極體順偏時的溫度效應見圖 3-19(a)。實驗上亦發現,溫度每上

升 1°C,二極體的順偏電壓將下降大約 2mV。

CmV20

°−≅=dIdT

dV (3-85)

另一方面,由(3-5)和(3-81)二式可得知當 gE 愈小, 2in 愈大,於是 SI 愈大,

即打開電壓 )(onDV 愈低。就鍺製( =gE 0.66eV)和矽製( =gE 1.12eV)二極體

二者比較而言,由於鍺的帶溝值 gE 較小,於是具有較大的飽和電流與較

低的打開電壓,如圖 3-19(b)所示。

3.6.3 理想因子

實驗上發現(3-83)式往往無法滿足一真實二極體的特性,應重新修正為

)1( −= TVVS eII η (3-86)

其中η 稱為理想因子(ideality factor)。對於矽製元件而言,η 介於 1 與 2之間。附帶一提,η 亦也可用 n 表示,稱為放射係數(emission coefficient)。

鍺的帶溝值 Eg較小,於是

具有較大的飽和電流與較

低的打開電壓。

150 第 3 章 半導體二極體

V

lnIS

lnI

TVη1=斜率

圖 3-20 pn 二極體在半對數座標下的電流電壓特性。

此外,當順向偏壓時,令 TVV >> ,故

TVVS eII η≅ (3-87)

此式取對數得

VV

IIT

S η1lnln +≅ (3-88)

將 Iln 與 V 作圖可得圖 3-20。故在半對數座標上, Iln 與 V 之關係為一

直線,其斜率為 TVη1 。故在實驗上量測此斜率值後,乘以 TV 再取倒數

即可獲得理想因子η 值。

例題 7

一 pn 接面二極體,其飽和電流為 A10 14−=SI ,經測量發現此二極體在

1mA 的操作電流下其導通電壓恰好為 0.7V,試計算其理想因子η 。

解:

在順向偏壓下,

TVVS eII η≅

⎟⎟⎠

⎞⎜⎜⎝

⎛=

ST I

IV

V

lnη

代值後可得 07.1=η 。

3.7 pn接面的寄生電容 151

3.7 pn接面的寄生電容

3.7.1 空乏電容

首先考慮一單側突變接面( DA NN >> ),見圖 3-21。其中空乏區右側的總

電量 Q 為

)(2

VVqN

AqN

AWqNAxqNAxqNQ

biD

SD

dD

nDnD

−∈

=

≅== +

(3-89)

基於 Q 與 V 間之非線性關係,故定義空乏電容(depletion capacitance) jC

dVdQC j

Δ= (3-90)

將(3-89)式微分可得

d

Sj WAC =∈ (3-91)

或單位面積的空乏電容 jC ′

p+ n

A− +

− +

− +− +

+Q

xp xn

Wd

Q−

圖 3-21 空乏電容即敘述空乏區內部的電荷儲存效應。

選擇性閱讀

152 第 3 章 半導體二極體

d

Sj W

C ∈=′ (3-92)

上式陳述空乏電容與空乏層寬度成反比。且與半導體介電係數

(permittivity)和接面面積成正比。至於空乏層寬度則是外加偏壓的函數,

可參考(3-64)式 另一方面,代入(3-64)式可得

)(

2VV

qN

AC

biD

S

Sj

−∈

∈= (3-93)

定義 0jC 為零偏壓時的空乏電容,

biD

S

Sj

VqN

AC∈

∈=

20 (3-94)

故(3-93)式可改寫為

210

1 ⎟⎟⎠

⎞⎜⎜⎝

⎛−

=

bi

jj

VV

CC (3-95)

或是適用範圍更廣的通式:

m

bi

jj

VV

CC

⎟⎟⎠

⎞⎜⎜⎝

⎛−

=

1

0 (3-96)

其中 m 為一與製程相關的參數,一般稱為梯度係數(grading coefficient),常見值為 1/2 或 1/3。

3.7.2 擴散電容

在順向偏壓下,由於有少數載體入射,於是出現少數載體儲存效應,而

儲存的少數載體可被外加電壓調變,於是在接面附近出現另一種電容,

稱為擴散電容(diffusion capacitance) dC ,定義

dVdQ

C pd

Δ= (3-97)

其中 pQ 為一 np + 接面在 n 型半導體中的少數載體儲存電荷,可參考圖

3-16(a)。根據順偏時的電荷控制方程式,

空乏電容與空乏層寬度成

反比,至於空乏層寬度則

是外加偏壓的函數。

3.7 pn接面的寄生電容 153

TVVSppp eIIQ ηττ ≅= (3-98)

代入(3-97)式可得

TVVSp

Td eI

VC ητ

η1= (3-99)

可改寫為

T

pd V

IC

ητ

= (3-100)

上式陳述擴散電容主要與少數載體生命期及順偏電流成正比。

例題 8

有一矽製 pn −+ 接面二極體,其中 317 cm102×=DN , 315 cm10=AN ,

cmF1005.1 12−×=∈S , 310 cm1045.1 ×=in ,少數載體生命期分別為

ns10=pτ 和 s2μτ =n ,理想因子η 為 1,計算

(a) 零偏壓的空乏層寬度。 (b)單位面積的空乏電容。 (c) 空乏層內的最大電場 maxε 。

解:

(a) 內建電位 biV 為

== 2lni

DATbi n

NNVV 0.69V

故空乏層寬度 dW 為

m93.0cm1093.0

69.010106.1

1005.122

4

1519

12

μ=×=

×××

××=∈

=

biA

Sd V

qNW

(b) 單位面積之空乏電容 jC′ 為

284

12

cmF101.11093.01005.1 −

×=××=∈=′

d

Sj W

C

(c)根據(3-57)式,

cmV105.1 4max ×=

∈≅

∈=

S

dA

S

pA WqNxqNε

擴散電容主要與少數載體

生命期及順偏電流成正

比。

154 第 3 章 半導體二極體

(a)

0 V

I

I

V+ −

理想

(b)

0 V

I

VB

I

V+ −

VB理想

(c)

0 V

I

VB

Dr1=斜率

I

V+ −

VB rD理想

圖 3-22 (a)理想二極體模型,(b)定電壓模型,(c)電池加電阻模型。

3.8 二極體電路分析

3.8.1 二極體的近似模型

為了簡化未來的二極體電路分析過程,在此我們介紹常見的三種近似模

型,見圖 3-22。第一種為理想模型(ideal model),即順偏視為完美短路,

而逆偏則視為完美斷路。所謂短路的定義為電壓為零而電流為任意值;

斷路則是電流為零而電壓為任意值,見圖 3-22(a)。 第二種是定電壓降模型(constant-voltage-drop model)。即順偏時,電壓

固定在 BV 而電流為任意值;當端電壓不足 BV 時,一律視為逆偏且傳導電

流為零,見圖 3-22(b)。此外,一般對矽製二極體而言, BV 之典型值約為

0.7V。 最後一種稱為電池加電阻模型(battery-plus-resistance model),又名片斷線

性模型(piecewise linear model)。即 V 超過 BV 時,二極體順偏,且其特性類

似一電阻 Dr ,而 V 低於 BV 時,二極體逆偏,電流為零,見圖 3-22(c)。

3.8.2 電路分析

考慮一二極體電路,如圖 3-23(a)所示。二極體電流 I 與電壓 V 需同時滿

足二極體順偏特性

短路的定義為電壓為零而

電流任意,而斷路則是電

流為零而電壓任意。

3.8 二極體電路分析 155

(a)

R I

VDD

+VD

(b)

Q

0

I

RVDD

VDD V

操作點

R1−=斜率

VD

ID

圖 3-23 (a)一簡單的二極體電路,(b)圖解法。

I ≅ TVVS eI η (3-101)

和 KVL 迴路方程式

RIVV DD −= (3-102)

由於此二式聯立後的解析解並不存在,故我們可以利用下述的方法作近

似分析。 圖解法 在 VI − 平面上畫出(3-101)和(3-102)二式,如圖 3-23(b)。而電路之解即

由圖中交點 Q 所對應之座標決定。(3-101)式代表二極體方程式,而(3-102)式為一直線,稱為負載線(load line)。而元件特性曲線與網路負載線的交

點 Q 則稱為操作點(operating point)。 理想二極體模型 若代入圖 3-22(a)之理想二極體模型,可解出 0=DV 和

R

VI DDD = (3-103)

定電壓降模型 若代入圖 3-22(b)之定電壓降模型,可解出 BD VV = 和二極體電流 DI 為

R

VVI BDDD

−= (3-104)

電池加電阻模型 若將圖 3-22(c)之電池加電阻模型代入圖 3-23(a)之電路,可解出二極體電

流為

元件特性曲線與網路負載

線的交點 Q 則稱為操作

點。

156 第 3 章 半導體二極體

D

BDDD rR

VVI+−= (3-105)

和二極體端電壓 DV 為 DDBD rIVV += (3-106)

疊代法 疊代法(iteration method)是上述各種方法中較為精確但也較費時的一種

方法,為一種有規則性的嘗試錯誤法。現假設有一二極體在 =DI 1mA時, DV 恰好為 0.7V。於是二極體的順偏方程式可改寫

DT

DTS

TS

DTD

IV

IVI

VIIVV

ln7.0

lnmA1lnln

η

ηηη

+=

+⎟⎟⎠

⎞⎜⎜⎝

⎛=⎟⎟

⎞⎜⎜⎝

⎛=

(3-107)

注意上式中的 DI 為拆除 mA 單位後剩餘的數字,或說以 mA 為單位的數

字。再與迴路方程式進行規則性的嘗試錯誤即可求出相當準確的解,現

以例題 9 說明。

例題 9

假設圖 3-24 中的二極體只有在 =DI 1mA 時, DV 才剛好等於 0.7V,且

2=η 。利用疊代法求解 DI 和 DV 。

解:

將二極體特性方程式改寫成 DDTD IIVV ln05.07.0ln7.0 +=+= η ......................

而迴路方程式為 DD VI +×= 15 .........................................

第 1 次疊代: 令 =DV 0.7V 代入得 =DI 4.3mA,將 =DI 4.3mA 代回得

=DV 0.7729V。

VDD =−

+D VD

IDR=1kΩ

5V

圖 3-24 例題 9:二極體電路圖。

3.8 二極體電路分析 157

第 2 次疊代: 令 =DV 0.7729V 代入得 =DI 4.227mA,將 =DI 4.227mA 代回

得 =DV 0.772V。 顯然已不需要再反覆下去;於是我們就取 =DI 4.23mA 和

=DV 0.772V 作為與兩式之近似聯立解。

例題 10

利用二極體的定電壓降(0.7V)模型分析此雙端截波器(double-ended clipper)電路,見圖 3-25(a),並繪出其電壓轉換特性,也就是輸出電壓

與輸入電壓之關係。

解:

參考圖 3-25(a)並考慮以下兩種情況:

(a) 0=Iv , 1D 、 2D 、 3D 、 4D 導通,故 =1v 0.7V 和 =Ov 0V。此外,

=−=10

7.0102I 0.93mA

=+−=10

107.03I 0.93mA

04 =I

由於 4321 IIII +=+

故 01 =I 。

(b) =Iv 3V, 1D 、 2D 、 3D 、 4D 導通,故 =1v 3.7V 和 =Ov 3V。此

=−=10

7.3102I 0.63mA

=+=10

103.23I 1.23mA,

=4I 0.3mA

故 =1I 0.9mA。 由(a)(b)知,流經 2D 之電流 2DI 必須大於 4I ,否則 4D 將為截止狀態,

但 Iv 上升, 1v 上升, 2I 下降,但 4I 上升,當 2I 下降至恰好足以供

應 4I 時, Iv 即達到一臨界值 crV ,此時 1D 和 4D 正好截止,而 2D 和 3D維持導通,見圖 13-25(b)。故可求得 crV 為

158 第 3 章 半導體二極體

vO

10kΩ

+10V

10kΩ

−10V

10kΩ

vI

I2

v1D2D1

D3 D4

I4

I3

I1

v2

(a)

(b)

vO = Vcr

10kΩ

+10V

10kΩ

−10V

10kΩ

vI > 4.65V

I2

D2

D3

I4

I3

I1

vO

vI

4.65V

4.65V

4.65V

4.65V−

(c)

圖 3-25 例題 10:(a)電路圖,(b)折斷點與飽和電壓的計算,(c)(a)電路之轉換特性。

=×⎟⎠⎞

⎜⎝⎛

+−=≡ 10

10107.010

crO Vv 4.65V

綜合以上所述得

3.9 小訊號模型 159

V65.4:V65.4

:V65.40=>=≤≤

OI

IOI

vvvvv

同理

V65.4:V65.4

:0V65.4−=−<

=≤≤−

OI

IOI

vvvvv

故此電路之轉換特性如圖 3-25(c)所示。

3.9 小訊號模型

3.9.1 小訊號電阻

考慮一觀念性電路及所對應之訊號關係,如圖 3-26。在無外加訊號 )(tvd

時,二極體直流電壓為 DQV ,代入(3-87)式可求得所對應之二極體直流電

流 DQI 為

TDQ VVSDQ eII η= (3-108)

當訊號加入時,全部瞬間二極體電壓(total instantaneous diode voltage) )(tvD 將為

)()( tvVtv dDQD += (3-109)

所對應之全部瞬間二極體電流 )(tiD 為

TdDQTD VvVS

VvSD eIeIti ηη )()( +==

TdTDQ VvVVS eeI ηη= Td Vv

DQeI η= (3-110)

現在假設訊號 )(tvd 之振幅足夠小,使得

1<<T

d

Vv

η (3-111)

根據泰勒級數(Taylor series)展開並將二階以上之高階項忽略,則(3-110)式可簡化為

)(tiD ≅ ⎟⎠

⎞⎜⎝

⎛ +T

dDQ V

vIη

1 (3-112)

其中(3-111)式稱為小訊號近似(small-signal approximation)。一般而言,

對於小於 10mV 之訊號振幅而言,小訊號近似是合理的。 由(3-112)式,

160 第 3 章 半導體二極體

iD (t)

vD (t)

+vd (t)

VDQ

−+

)()( tvVtv dDQD +=)()( tiIti d+=D DQ

(a)

t

iD

IDQ

Q

vD

t

VDQ

vd (t)

id (t)

dr/1=斜率

(b)

圖 3-26 二極體小訊號電阻之圖解說明。

dT

DQDQD v

VI

Itiη

+=)( (3-113)

我們得到一訊號成份疊加在直流成份 DQI 之上,且此訊號電流正比於訊

號電壓 dv ,也就是,

dDQD iIi += (3-114)

其中,

dT

DQd v

VI

= (3-115)

上式顯示在小訊號近似成立的前提下,交流二極體電流 di 與交流二極體

電壓 dv 成正比,此正比係數之倒數定義為小訊號電阻 (small-signal

resistance)或變量電阻(incremental resistance)。此外,亦可稱為動態電阻

(dynamic resistance)、微分電阻 (differential resistance)或交流電阻 (ac resistance)。根據(3-115)式,小訊號電阻 dr 可表示為

3.9 小訊號模型 161

(a)

CjCdrd

(b)

rd

圖 3-27 (a)pn 接面順偏的小訊號模型,(b)順偏時的低頻簡化模型。

DQ

Td I

Vr η= (3-116)

注意 dr 之值與偏壓電流(bias current) DQI 成反比。換言之,交流電阻 dr 之

數值應由直流成份 DQI 決定。讀者亦可利用下式求得小訊號電阻 dr ,即

DQ

T

IiD

Dd I

Vvir

DQD

η=⎟⎟⎠

⎞⎜⎜⎝

∂∂=

=

1

(3-117)

如圖 3-26(b)所示,若 )(tvd 為一小訊號,則二極體的操作將被侷限在操作點

Q 附近一個範圍極小且近似線性的線段上,於是將產生一幾乎無失真的訊

號電流 )(tid 。而 )(tid 與 )(tvd 之關係即被 Q 點的微分所決定,且此微分的

倒數即為小訊號電阻 dr 。這就是(3-117)式在電子電路上的意義。

3.9.2 小訊號模型

綜合前面幾節所述,我們可以得到 pn 接面順偏時的小訊號模型,其中

包括了二極體電阻 dr ,並聯空乏電容 jC 以及擴散電容 dC ,見圖 3-27(a)。

其中空乏電容 jC 為

)(2

DQbiB

S

S

dSj

VVqN

AWAC

−∈∈≅=∈ (3-118)

和擴散電容 dC 為

TDQ VV

T

Sp

T

DQpd e

VI

VI

C η

ητ

ητ

== (3-119)

因為電容阻抗 CjZC ω1= ,故在低頻操作時,電容 dC 和 jC 之阻抗值將

相當大,故與 dr 之並聯效應可以忽略,所獲得的低頻模型見圖 3-27(b)。

rd 之值與偏壓電流 IDQ 成

反比。

在低頻操作時,電容 Cd

和 Cj之阻抗值將相當大,

故與 rd之並聯效應可以忽

略。

162 第 3 章 半導體二極體

3.10 蕭基二極體

3.10.1 金屬與半導體接面

若吾人在半導體表面鍍上一層金屬,即形成所謂金屬半導體接面(metal- semiconductor junction),見圖 3-28(a)。其電流電壓特性可分為兩大類,

即歐姆接點(ohmic contact)與蕭基接點(Schottky contact)。 歐姆接點 因為這種接點其介面電阻 (contact resistance)遠小於內部電阻 (bulk resistance),外加電壓將落在內部,所表現出來的就是內部的電阻特性,

見圖 3-28(b)。簡單來說,其端點之電流電壓特性為一通過原點之直線,

元件上是否產生電流傳導與所加電壓極性無關,為一非整流性接點

(nonrectifying contact)。 蕭基接點 因為這種接點其介面電阻遠大於內部電阻,外加電壓將落在介面,表現

出來的就是介面蕭基位障之整流特性,見圖 3-28(c)。經半導體理論推導

可得 )1( −= TVV

S eII (3-120)

其中 SI 為飽和電流。此種具有整流特性之接點所製成之二極體稱為蕭基

二極體(Schottky diode),其電路符號見圖 3-28(d)。

(a)

金屬

半導體

I

+

V

(b)

I

V

(c)

I

V

IS

(d)

I

+

V

圖 3-28 (a)金屬與半導體接面,(b)歐姆接點的電流電壓特性,(c)蕭基接點的電流電壓特性,(d)蕭

基二極體的電路符號。

選擇性閱讀

3.11 齊納二極體 163

3.10.2 蕭基二極體的特點

速度

蕭基二極體不論在金屬或 n 型半導體中,所有的載體傳導行為幾乎完全

由電子來完成,於是只有多數載體對電流傳導有貢獻,因此稱之為多數

載體元件(majority carrier device),其最大優點就是沒有少數載體儲存效

應,故為一高速元件。 電流電壓特性 若將蕭基二極體之 I-V 特性與 pn 二極體比較,蕭基二極體具有較大的反

向飽和電流以及較低的打開電壓(turn-on voltage),約 0.4V。利用較低的

打開電壓之性質可製成一電晶體,稱為蕭基電晶體(Schottky transistor),主要用於高速數位電路,我們將在 14 章介紹。

3.11 齊納二極體

3.11.1 電流電壓特性

包括崩潰區(breakdown region)在內的半導體二極體的特性曲線,被重新

畫在圖 3-29(b)中。這些二極體是專門被設計在崩潰區內操作的,且具有

(a)

IZ

+VZ

+V

I

陰極

陽極

(b)

I

VK

崩潰區

−IZK

−IZ

順偏區

−VZK−VB

−VZ

截止區

QZr1=斜率

圖 3-29 (a)齊納二極體的電路符號,(b)齊納二極體完整的特性曲線。

蕭基二極體為多數載體元

件,其最大優點就是沒有

少數載體儲存效應。

164 第 3 章 半導體二極體

(a)

I

V

−VB

Zr1=斜率

(b)

IZ

+

VZ

+

V

I

陰極

陽極

VB

rZ

圖 3-30 (a)齊納二極體在截止區與崩潰區的近似特性,(b)描述(a)中近

似特性所建立的電池加電阻模型。

良好的功率散逸裝置,可以當作電壓參考或穩壓元件,這種二極體稱為

齊納二極體(zener diode)或崩潰二極體。若利用此二極體作為電壓調節

器,將使負載電壓保持在 ZV 附近且幾乎為一定值,不受負載電流或電源

上電壓變動之影響。 圖 3-29(a)為齊納二極體的電路符號,其中參考電流 I 的方向定義為陽

極流向陰極,注意 ZII −= 和 ZVV −= 。因此,若 0<I 和 0<V ,則 0>ZI和 0>ZV 。

圖 3-29(b)為完整的電流電壓特性曲線,圖中顯示三種操作區間:順偏

區、截止區和崩潰區。其中 K 代表截止區和崩潰區的臨界點,即俗稱膝

蓋點(knee);K 點所對應的逆向電壓和逆向電流分別表示為 ZKV 和 ZKI 。

一般而言,在 K 點附近為一平滑曲線,但在進入崩潰區後即趨近一直線,

其斜率為 Zr1 , Zr 稱為齊納電阻(zener resistance)。一般而言, Zr 之值大

約在 Ω20 附近。 針對上述崩潰區的近似直線取其背向延伸線可交負橫軸於一點,此點

電壓表示為 BV− ,此值與 ZKV− 相當接近。於是將 BV 與先前電阻 Zr 合併

即可獲得齊納二極體的電池加電阻模型(battery-plus-resistance model), 見圖 3-30。圖 3-30(b)中逆向電流 ZI 與逆向電壓 ZV 間之關係式為

ZZBZ rIVV += (3-121)

在此請讀者特別注意上式中的變數為 ZI 和 ZV ,並非圖 3-30(b)中的 I 和

V。這是因為 I 和 V 均為負值,在齊納二極體進行電路分析時容易引起

困擾。反觀, ZI 和 ZV 均為正值,電路分析時較為方便,我們將在下一

單元中詳細說明。

3.11 齊納二極體 165

3.11.2 齊納並聯調節器

圖 3-31(a)為一齊納並聯調節器(zener shunt regulator),其作用為即使在 IV產生漣波或負載電流 LI 變動時亦能提供一變動極小幾乎為常數的輸出

電壓 OV 。如欲測量此電路的表現可使用以下二參數:負載調節量(load

regulation) LOR 或線調節量(line regulation) LIR。其中負載調節量的定義

為 LI 上出現 1mA 變動時所產生的 OV 變量,即

L

O

IV

ΔΔ

=ΔLOR (3-122)

常用單位為 mAmV 。而線調節量的定義為 IV 上出現 1V 的變動時所造

成的 OV 變量,即

I

O

VV

ΔΔ=ΔLIR (3-123)

接下來我們將原始的調節器電路以齊納二極體的等效電池加電阻模型

取代,見圖 3-31(b)。經分析此模型可得

)(

)()(

)(

LZ

LB

LZ

LZIO RRr

RRV

RrRRr

VV+

++

= (3-124)

但是,不幸的是,要由上式計算 LOR 和 LIR 並不容易。故我們重新改

寫 OV ,並將圖 3-31(b)視為兩電壓源 IV 和 BV 以及一電流源 LI 的三重貢

獻,再利用重疊原理可得

Z

BZ

ZIZLO rR

RVrR

rVRrIV+

++

+−= )( (3-125)

(a)

IL

+

VI

+

VO

IZ

I

R

RL

(b)

IL

+

VI

+

VOIZ

I

R

RLrZ

VB

圖 3-31 (a)齊納並聯調節器電路,(b)齊納二極體以其電池加電阻模型

取代,其中 rZ稱為齊納電阻。

即使在VI產生漣波或負載

電流 IL變動時亦能提供一

變動極小幾乎為常數的輸

出電壓 VO。

166 第 3 章 半導體二極體

由上式可看出,如欲獲得一穩定的 OV ,則吾人希望 OV 由右式的第三項

決定,或說 OV 受第一項 LI 和第二項 IV 的影響愈小愈好。故

)(LOR RrIV

ZL

O −=ΔΔ=Δ (3-126)

Z

Z

I

O

rRr

VV

+=

ΔΔ=ΔLIR (3-127)

此外,值得一提的是,在設計此並聯調節器時,吾人必須確定二極體

維持在崩潰區,尤其要注意反向齊納電流 ZI 是否超過最小齊納電流

(min)ZI 。由圖 3-31(a)可看出當 IV 最小同時 LI 最大時將出現最小齊納電流

(min)ZI 。於是,我們由圖 3-31(b)中令 (min)II VV = , (max)LL II = , (min)ZZ II =

即可得出 R 之適當值:

(max)(min)

(min)(min)

LZ

ZZBI

IIrIVV

R+

−−= (3-128)

3.11.3 崩潰機構

二極體的崩潰機構有兩種,分別是齊納崩潰(zener breakdown)與纍增崩

潰(avalanche breakdown),以下分別討論: 物理機構

考慮在反向區操作時,逆向偏壓愈大,空乏區內之電場愈強,當電場強

至將內層價電子拉出,形成大量電子電洞對時,即造成崩潰,此時反向

電流急速上升。這種現象稱為齊納崩潰。在半導體理論中,一般是以價

電帶中的價電子以穿隧(tunnel)帶溝的傳導方式來解釋此一現象。 在強大逆偏之下,空乏區中某處由於熱產生一電子電洞時,此時電子

即受加速,當電子加速獲得動能足夠高時,極有可能撞擊晶格原子打斷

共價鍵,產生電子電洞對,此現象稱為撞擊游離化(impact ionization);因撞擊而產生的電子電洞在空乏區中可以繼續被加速,而發生下一次的

撞擊游離化,產生新一批的電子電洞對,此連續過程稱之為纍增過程

(avalanche process)。 摻雜的效應 根據半導體理論與實驗可得知,齊納崩潰只有在高摻雜的接面才容易發

生。根據(3-57)式得知空乏層的最大電場為

S

nD

S

pA xqNxqN∈

=∈

=maxε (3-129)

齊納崩潰只有在高摻雜的

接面才容易發生。

選擇性閱讀

3.11 齊納二極體 167

考慮一 np −+ 接面,即 DA NN >> ,故 dnp Wxx ≅<< ,故

)(2max Rbi

S

D

S

dD VVqNWqN+

∈=

∈≅ε (3-130)

現令崩潰電場為 BDε ,並假設當 BDεε =max 時,崩潰發生,即

BDZS

D VqN εε =∈

≅ 2max (3-131)

可解得崩潰電壓

2

2 BDD

SZ qN

V ε∈≅ (3-132)

結論是,摻雜濃度 DN 愈高,則崩潰電壓 ZV 愈低。

崩潰電壓 合併以上兩重要敘述即可得知,齊納崩潰的崩潰電壓較低,一般在 5V以下;而纍增崩潰的崩潰電壓較高,一般在 7V 以上。至於 ZKV 介於 5V

和 7V 間者則可能為齊納,或是纍增,亦可能是兩崩潰機構之合成。 溫度效應 另一方面,對於一穩壓裝置,了解其溫度效應是非常重要的。一般來說,

對於齊納崩潰而言,崩潰電壓之溫度係數是負的,即溫度上升,崩潰電

壓下降;反觀對於纍增崩潰而言,崩潰電壓之溫度係數則是正的,即溫

度上升,崩潰電壓增加。

例題 11

如圖 3-32 所示為一雙端截波電路,假設 1Z 和 2Z 匹配,且具有相同的參

數 BV 和 Dr 。請於順偏時代入電池加電阻模型和逆偏時代入定電壓降模

型並畫出其電壓轉換特性。

解:

R

Z1

Z2

vI

+

vO−+

圖 3-32 例題 11:電路圖。

摻雜濃度 ND愈高,則崩潰

電壓 VZ愈低。

齊納崩潰的崩潰電壓較

低,纍增崩潰的崩潰電壓

較高。

168 第 3 章 半導體二極體

vI

+

vO

VB

rD

VZ

R

(a)

−+

vI

vO

ZB VV +

ZB VV +

)( ZB VV +−

)( ZB VV +−

D

D

rRr+

=斜率

D

D

rRr+

=斜率

(b)

圖 3-33 例題 11:(a)等效電路圖,(b)電壓轉換特性。

若 ZBI VVv +> , 1Z 順向導通, 2Z 崩潰,此時等效電路如圖 3-33(a)

所示:

D

DZBIZBO rR

rVVvVVv+

−−++= )(

⇒ D

ZBID

DO rR

RVVvrR

rv+

+++

= )(

同理可推得 )( ZBI VVv +−< 的情形,於是電壓轉換特性為圖 3-33(b)。

3.12 整流器電路

3.12.1 半波整流器

圖 3-34(a)為一簡單的半波整流器電路(half-wave rectifier)。對於理想二極

體而言,若 0>Iv ,二極體導通,於是

IO vv = (3-133)

若 0<Iv ,二極體關閉,於是

0=Ov (3-134)

由此可得出半波整流的功能。但是對於實際二極體而言,若 DI Vv > ( DV

為二極體的導通電壓),二極體才導通,此時

3.12 整流器電路 169

(a)

RL

+

vO

D

+

vI交流輸入

+

(b)

VD電壓

V

DVV −ˆ

V−

t

vO

vI

圖 3-34 (a)半波整流器電路,(b)輸入和輸出波形。

DIO Vvv −= (3-135)

也就是 Ov 並沒有完全跟隨 Iv ,於是產生了失真現象。而對 DI Vv < ,二極

體關閉,此時

0=Ov (3-136)

若我們輸入一振幅為 V 的正弦波,所得的整流輸出波形顯示於圖

3-34(b)。 對於整流器的設計而言,在二極體的選擇上有兩個重要的參數必須指

定:第一為持有電流能力,此值是由二極體上預期會出現的最大電流來

決定。另一為峰值逆向電壓(peak inverse voltage,簡稱 PIV) 此值是由

二極體上預期會出現的最大反向電壓值來決定。 就圖 3-34(a)之電路而言,其 PIV 值即為 Iv 之峰值V

VPIV = (3-137)

一般而言,吾人所選擇的二極體其逆向崩潰電壓必須超過 PIV 的兩倍。

3.12.2 全波整流器

全波整流器將同時利用到輸入訊號的正半週和負半週,其中的一種電路

顯示於圖 3-35(a)。在此電路中,變壓器的次級線圈(secondary coil)被中

心抽頭(center-tapped)以使橫跨次級線圈的兩個半線圈上均能提供相同

170 第 3 章 半導體二極體

的電壓 Iv ,其極性則如圖中所示。注意當送至主級線圈(primary winding)上的輸入電壓為正值時,電壓 Iv 亦為正值。 在輸入訊號正半週時, 1D 導通和 2D 截止且其行為與前面所述的半波

整流電路一致。而在輸入訊號處於負半週時, 1D 截止和 2D 導通,此時

DIO Vvv −−= (3-138)

於是可得到如圖 3-35(b)所示的轉換特性。若我們輸入一振幅V 為之正

弦波,所得到整流輸出波形見圖 3-35(c)。此外,由圖 3-35(c)中可以很容

易計算出其峰值逆向電壓為

PIV DVV −= ˆ2 (3-139)

(a)

RL

+

vO交流輸入

+vI

D1

D2

+

+vI

(b)

vO

0 VD−VD vI

1+=斜率1−=斜率

(c)

VD電壓

V

DVV −ˆ

V−

t

vO

vI

圖 3-35 (a)全波整流器電路,(b)轉換特性,(c)輸入和輸出波形。

3.12 整流器電路 171

3.12.3 橋式整流器

圖 3-36(a)為一橋式整流電路,操作如下:當 Iv 處於正半週時, 1D 和 2D 導

通且傳導電流流經 1D , LR ,和 2D 的串聯組合,其間 3D 和 4D 是截止,

於是 DIO Vvv 2−= (3-140)

接下來,當 Iv 處於負半週時, 3D 和 4D 導通且傳導電流流經 3D , LR 和

4D 的串聯組合,其間 1D 和 2D 是截止,於是

DIO Vvv 2−−= (3-141)

為了計算此電路的峰值逆向電壓,考慮在正半週時出現在 3D 上的逆向

電壓為

(a)

交流輸入

vI

+

+

vO

RL

D1

D2 D3

D4

(b)

2VD電壓

V

DVV 2ˆ −

V−

t

vO

vI

圖 3-36 (a)一橋式整流電路,(b)輸入和輸出波形。

當 vI處於正半週時,D1和

D2 導通且傳導電流流經

D1,RL,和 D2 的串聯組

合,其間 D3和 D4是截止。

172 第 3 章 半導體二極體

3D 上的逆向電壓 2DvO += 上的順向電壓 (3-142)

因此, 3D 上逆向電壓的極大值是出現在 Ov 的峰值 (max)Ov 時,於是

DDDO VVVVv +−=+= )2ˆ(PIV (max) (3-143)

或是

DVV −= ˆPIV (3-144)

最後,輸入和輸出波形見圖 3-36(b)。

例題 12

考慮圖 3-37 所示的半波整流器。令 Iv 為一峰值 10V 的正弦波且

Ω= k1R 和 =DV 0.7V。

(a) 畫出轉換特性。 (b)畫出 Ov 的波形。 (c) 計算 Ov 的平均值。

(d)計算二極體上的峰值電流。 (e) 計算二極體的 PIV 值。

解:

(a) 轉換特性繪於圖 3-38。 (b) 輸出波形繪於圖 3-39。 (c) 由圖 3-39 中之波形可解得

°== − 5.410

7.0sin 1θ

故 Ov 的平均值為

[ ]

[ ])079.02(7.0cos1021

7.0sin1021

5.1755.4

180

)(

×−−−−=

−−=

°°

πφπ

φφπ

θ

θdv AVO

DvI R

+

vO−+

圖 3-37 例題 12:電路圖。

3.12 整流器電路 173

vI

vO

1=斜率

0.7V−

(a)

圖 3-38 例題 12:(a)的轉換特性。

(V)

10

00.7V

vO

− 9.3− 10

ω t(rad)

vI θ θ

(b)

電壓

圖 3-39 例題 12:(b)的波形圖。

[ ])079.02(7.0)5.4cos(10221 ×−×−°×−= ππ

V843.2−=

(d) 峰值電流 I 為

I =−=R

7.010 =−1

7.010 9.3mA

(e) PIV = 10V

174 第 3 章 半導體二極體

3.13 峰值整流器

3.13.1 理想的半波峰值整流器

圖 3-40(a)為一具有濾波電容的整流器,一般稱為峰值檢測器(peak detector)或是峰值整流器(peak rectifier)。令 tVtvI ωsinˆ)( = ,則當 Iv 走向

正值,二極體導通且對電容充電,即 IO vv = 。這種操作現象會一直持續

下去,直到 Iv 到達其峰值V 。越過峰值之後, Iv 開始下降,於是二極體

變為逆偏且輸出電壓維持常數,其值即先前之峰值V ,見圖 3-40(b)。因

此,這個電路產生了其值為輸入正弦峰值的直流輸出電壓。

3.13.2 實際的半波峰值整流器

接下來考慮較實際的情況,即連接一負載電阻 LR ,見圖 3-41(a)。假設

二極體是理想且 tVtvI ωsinˆ)( = ,則如圖先前敘述一般,電容充電至輸入

正弦的峰值V ,緊接著二極體關閉且電容經由負載電阻 LR 放電。此放電

過程會一直持續下去,直到輸入正弦之值超過電容電壓,於是二極體再

度導通,造成電容充電至 Iv 的峰值V ,接下來就不斷重複這種週期性的

操作。

(a)

DvI C

+

vO−+

(b)

電壓

V

t

vI

vO

圖 3-40 (a)半波峰值整流器電路,(b)(a)中電路的操作波形。

產生了其值為輸入正弦峰

值的直流輸出電壓。

電容充電至輸入正弦的峰

值V,緊接著二極體關閉

且電容經由負載電阻 RL

放電。此放電過程會一直

持續下去,直到輸入正弦

之值超過電容電壓,於是

二極體再度導通。

3.13 峰值整流器 175

在電容放電期間,為了避免輸出電壓衰減太快,吾人通常選擇 C 值使

之 CRL 時間常數遠大於放電區間,於是在 TCRL >> 的假設下所得出穩

態輸出和輸入波形顯示於圖 3-41(b)。其中 T 代表輸入正弦的週期

ωπ21 ==

fT (3-145)

此外,圖 3-41(b)中的 ONt 代表二極體開始導通的時間, OFFt 則代表二極

體開始關閉的時間,於是 ONOFF ttt −=Δ 代表導通區間。至於輸出波形中

的 ripV 則代表漣波電壓。因為在放電區間中,

τtCRtO eVeVtv L −− == ˆˆ)( (3-146)

其中 CRLΔ=τ 。在放電區間結束時,

ripO VVtTtv −=Δ−= ˆ)(

ττ TtT eVeV −Δ−− ≅= ˆˆ )( (3-147)

(a)

DvI C

+

vORL−+

(b)

t2TT0

電壓

VripVV −ˆ

V−

tON tOFF

Vrip

vO

vI ΔtΔtΔt

圖 3-41 (a)實際的半波峰值整流器電路,(b)(a)中電路的操作波形。

176 第 3 章 半導體二極體

因為 TCRL >> ,於是

τTe− ≅τT−1 (3-148)

代入上式可得漣波電壓為

ripV ≅τTV (3-149)

或可以用輸入正弦波之頻率表示為

τf

VVrip

ˆ= (3-150)

(3-149)式顯示如欲獲得非常小的漣波電壓,則必須選擇一電容 C 使得

TCRL >>=Δτ 。

另一方面,我們亦可由以下推導求出導通區間 tΔ ,由於

ripVVtV −=Δ ˆ)cos(ˆ ω (3-151)

由於 Tt <<Δ ,於是 tΔω 為一小角度,在此條件下,

)cos( tΔω ≅ 2)(211 tΔ− ω (3-152)

代入上式可得

2)(2

ˆtVVrip Δ≅ ω (3-153)

因此

tΔω ≅VVrip

ˆ2

(3-154)

由上式我們可明顯看出,當 VVripˆ<< 時, tΔω 會很小,正如同我們先前

所假設的。

3.1 一矽製 n 型半導體,施體濃度 316 cm10=DN ,受體濃度 0=AN ,

室溫時 310 cm1045.1 ×=in ,計算熱平衡時的電子與電洞濃度。

3.2 一摻雜砷的 n 型矽晶體,其施體濃度 316 cm10=DN ,計算其室溫

時的電阻係數。( sec-Vcm1300 2=nμ )

3.3 一 5mm 長的矩形矽樣本,截面積為 5000 2mμ ,施體濃度316 cm10=DN 。現在矽棒兩端加上 1V 電壓並假設電場強度為

§ 練習題

練習題 177

2 cmV 且均勻分佈。矽的電子遷移率為 sec-Vcm1500 2=nμ 。計算:

(a) 此矽棒之導電率σ 。 (b) 矽棒上的遷移電流。

3.4 現有 A、B、C、D、E 五個矽樣本: A: K300=T ,施體濃度為 317 cm10 B: K350=T ,施體濃度為 317 cm10 C: K350=T ,受體濃度為 317 cm10

D: K300=T ,純質半導體 E: K350=T ,純質半導體 請依序排出導電率的大小關係。

3.5 考慮一 pn 接面二極體,假設摻雜濃度為 314 cm102×=AN ,316 cm10=DN 。矽的介電常數(dielectric constant) r∈ 為 11.7,故矽

的介電係數 cmF1005.1101036

17.11 1229 −−− ×=×××=∈∈=∈πors ,

310 cm1045.1 ×=in 。計算: (a) 內建電位 biV 。 (b) np xx 。

(c) 逆偏 30V 時的空乏層寬度 dW ,以及此時 p 側空乏層寬度 px 與 n

側空乏層寬度 nx 之值分別為何?

3.6 現有一 pn 二極體,在室溫時測量其電流電壓特性獲得兩組數據

(0.6V, 1mA)和(0.8V, 50mA),試計算: (a) 理想因子η 。 (b) 飽和電流 SI 。

3.7 一 np + 接面二極體,操作電流為 A50μ ,少數載體生命期 pτ 為

s1.0 μ ,理想因子η 為 1, mV25== qkTVT ,試計算此二極體的擴

散電容。

3.8 利用理想二極體模型分析附圖(a)之雙端截波電路,並繪出電壓轉換

特性如附圖(b)所示,試標示轉換特性上折斷點 X 和 Y 的數值以及斜

率 a 之數值。提示:令流經 1D 和 2D 的順向電流分別為 1i 和 2i ,則由

兩迴路方程式聯立可解得

275)5.21210(275)5.11215(

2

1

+−=−=

I

I

vivi

令 01 ≥i ( 1D 導通),對應 IXI Vv ≥ ;令 02 ≥i ( 2D 導通),對應 IYI Vv ≤ 。

178 第 3 章 半導體二極體

(a)

5kΩ

10V −

+

vO

+

vI

15kΩ

10kΩ

2.5V

D1 D2

(b)

X

Y

vO

VOY

VOX

VIX VIY vI

a=斜率

習題 3.8 附圖:(a)電路圖,(b)電壓轉換特性。

3.9 一齊納並聯調節器電路,如圖 3-31(a)所示,其中 Ω= k5.0R 。令齊

納二極體在 =ZI 5mA 時, ZV 恰好為 6.8V,且 =ZKI 0.2mA 和

Ω= 20Zr 。假設圖中 IV 為一正常值 10V 且具有 V1± 變動之電壓訊

號。 (a) 令 ∞=LR ,計算 IV 維持在 10V 時的輸出電壓 OV 。 (b) 令 ∞=LR ,計算由 V1± 的 IV 所導致 OV 的變量 OVΔ 。 (c) 計算欲使二極體維持在崩潰區所能容忍 LR 的極小值 (min)LR 。

t

9V

11V

VI

V10=正常值

習題 3.9 附圖。

3.10 考慮附圖所示的電壓調節器電路,齊納二極體 Z 在 mA2.0>ZI 時可

表現一定值電壓 =ZV 6V,即其齊納電阻非常小以致可以忽略。試

計算: (a) 如欲維持正常調節操作所需之最低 LR 值。 (b) 假設最低可允許的齊納電流為 ZKI 之 5 倍,且希望 LR 最低值為

Ω500 ,重新選擇一適合之 R 值。

參考書目 179

I

Z IZ RL

VO

IL

R = 1kΩ

VDD =10V

習題 3.10 附圖。

3.11 參考例題 8 的數據,並假設當空乏層最大電場 maxε 正好等於崩潰電

場 BDε 時,崩潰發生。令 cmV103 5×=BDε ,試計算本二極體的崩

潰電壓 ZV 。

參考書目

1.R. T. Howe and C. G. Sodini, “Microelectronics: An Integrated Approach,” Prentice-Hall International, Inc., 1996.

2. Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices,” 2nd ed. Cambridge University Press, 2009.

3. W. Shockley, “The Theory of p-n Junctions in Semiconductors and p-n Junction Transistors,” Bell Systems Tech. J., vol. 28, pp. 435-489, July 1949.

4. S. M. Sze and M. K. Lee, “Semiconductor Devices, Physics and Technology,” 3rd ed. New York: Wiley, 2012.

5. S. M. Sze and K. K. Ng, “Physics of Semiconductor Devices,” 3rd ed., John Wiley & Sons, New York, 2006.

6. D. A. Neamen, “Semiconductor Physics and Devices: Basic Principles,” 3rd ed. McGraw-Hill, 2002.

7. B. G. Streetman, “Solid State Electronic Devices,” 4th ed. Englewood Cliffs, NJ; Prentice-Hall, Inc., 1995.

8. R. S. Muller and T. I. Kamins. “Device Electronics for Integrated Circuits,” John Wiley & Sons, New York, 1977.

9. W. Shockley, “Electrons and Holes in Semiconductors,” D. Van Nostrand, Princeton, N. J. (reprinted), 1963.

10. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

180 第 3 章 半導體二極體

11. J. Millman and C. C. Halkias. “Integrated Electronics,” McGraw-Hill Book Company, New York, 1972.

12. J. D. Plummer, M. D. Deal and P. B. Griffin, “Silicon VLSI Technology, Fundamentals, Practice and Modeling”, Prentice Hall, Inc.,

2000.

13. S. K. Ghandi, “VLSI Fabrication Principles,” John Wiley and Sons, New York, 1983.

14. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

雙載子接面電晶體

雙載子接面電晶體(以下簡稱 BJT)為電子工業中第一

個固態放大裝置,與金氧半場效電晶體並列為兩大重

要半導體元件。本章將介紹 BJT 工作原理、電流電壓

特性曲線、主動區與飽和區的行為等重要單元。讀者

可透過本章先熟悉 BJT 直流電路的分析技巧,再銜接

至交流小訊號模型並了解小訊號參數所代表的意義,

最後再進入共射、共集、共基等各類放大器的分析。 4.1 工作原理

4.2 電流增益

4.3 依柏斯莫爾模型

4.4 靜態特性

4.5 實際的考慮

4.6 電晶體電路的直流分析

4.7 分立電路的偏壓設計

4.8 小訊號模型

4.9 共射放大器

4.10 射極追隨器

4.11 共基放大器

4.1 工作原理 183

4.1 工作原理

4.1.1 電晶體結構

簡單的雙載子接面電晶體(bipolar junction transistor,簡稱 BJT),如圖 4-1(a)所示,為一具有定橫截面,一定摻雜濃度的分佈之 pnp

結構,這種電晶體稱為標準電晶體,是 1949 年由 Shockley 所提出。在

圖 4-1(a)中左側的 p 區稱為射極(emitter),狹長的中間區域稱為基極

(base),而右側的 p 區稱為集極(collector)。但在理想結構中,我們假設

在每個區域的摻雜濃度都是均勻的。 在圖 4-1(a)中出現兩個 pn 接面,左側的稱為射基接面(emitter-base junction,簡稱 EBJ),右側的稱為集基接面(collector-base junction,CBJ)。兩接面間之距離定義了電晶體上最重要的一尺寸 BW ,稱為基極寬度

(base width)。對於一般商用電晶體而言, BW 之典型值約為 2,000Å。至

於圖 4-1(b)為 pnp 電晶體的電路符號。在此符號中,習慣上箭頭的方向

為陽極指向陰極,即 p 型指向 n 型;而箭頭的位置置於射極一方,集極

側則不再加箭頭。 另一種電晶體,即與 pnp 對偶的元件,我們稱為 npn 電晶體,見圖 4-2。由於 npn 電晶體在速度表現或是頻率響應上均優於 pnp,故目前不論數

(a)

WBn pp

射極 基極 集極金屬

歐姆接點EBJ CBJ

B

E C

(b)

E C

B

圖 4-1 (a)一標準的 pnp 雙載子電晶體結構,(b)pnp 電晶體的電路符號。

184 第 4 章 雙載子接面電晶體

(a)

np

射極 基極 集極

歐姆接點EBJ CBJ

B

E Cn

(b)

E C

B

圖 4-2 (a)一標準的 npn 雙載子電晶體結構,(b)npn 電晶體的電路符號。

表 4-1 BJT 四種操作模式。

EBJ CBJ 操作模式

順偏 逆偏 順偏 逆偏

順偏 逆偏 逆偏 順偏

飽和 截止

順向主動 逆向主動

位電路或類比電路,npn 出現的機會均遠較 pnp 為高。附帶一提,在此

電晶體結構中,兩個接面 EBJ 和 CBJ 必須靠得很近,否則兩接面將不會

產生電晶體作用,只是兩個背對背的 pn 二極體串聯而已。 根據兩接面所加電壓的極性可定義出 BJT 四種操作模式,其中 EBJ順偏和 CBJ 順偏為飽和(saturation),EBJ 逆偏和 CBJ 逆偏為截止(cutoff),EBJ 順偏和 CBJ 逆偏為順向主動(forward active)或簡稱主動,而 EBJ 逆偏和 CBJ 順偏則稱為逆向主動(reverse active)。以上四種操作模式整理在

表 4-1 中。

4.1.2 工作原理

熱平衡狀態 以一 pnp 電晶體為例,考慮在熱平衡之靜電位圖(electrostatic potential diagram) )(xV ,並以靜電位圖解釋其工作原理。首先考慮熱平衡狀態下

選擇性閱讀

4.1 工作原理 185

(a)

xpp

Vbi

Wd(EBJ) n Wd(CBJ)

V(x)

(b)

pp

qVbi

n

qV(x)

電洞 電洞

x

(c)

− qV(x)

x

pp

qVbi

n

電子

圖 4-3 pnp 電晶體的熱平衡狀態:(a)靜電位分佈 V(x),(b)電洞的位能分佈 qV(x),顯示兩側電洞

向基極看到一位障,(c)電子的位能分佈−qV(x),顯示基極中的電子落在一位能井中。

之靜電位分佈,如圖 4-3(a)所示。這裡假設射極和集極摻雜濃度一致,

故兩接面之內建電位相等,均為 biV 。此外, )( EBJdW 代表射基接面空乏層

寬度, )(CBJdW 代表集基接面空乏層寬度。根據上一章所介紹的觀念,將

)(xV 乘以 q+ 和 q− 即可分別得到電洞的位能分佈 )(xqV 及電子的位能

分佈 )(xqV− ,見圖 4-3(b)和 4-3(c)。觀念是在電晶體尚未平衡時,兩側

電洞向基極擴散進來,而基極中之電子向兩側擴散出去;但在平衡狀態

達成時,兩側電洞向基極均看到了一位障,如圖 4-3(b),而基極中的電

子則落在一位能井中,見圖 4-3(c)。

(a)

x

iCpnp

iE

iB

−+ vBC−+ vEB

EBJ空乏區

CBJ空乏區

(b)

x

主動偏壓

熱平衡

V(x)EBbi vV −

BCbi vV +

圖 4-4 (a)pnp 電晶體的主動偏壓,(b)pnp 電晶體在主動偏壓的靜電位分佈,並與熱平衡狀態比較。

186 第 4 章 雙載子接面電晶體

主動偏壓 現在射基接面加一順向偏壓 EBv ,而在集基接面加一逆向偏壓 BCv ,見圖

4-4(a),這種操作模式定義為主動模式(active mode)。根據上一章所述,

在此狀態下,射基接面之靜電位差為 EBbi vV − ,而集基接面之靜電位之

差為 BCbi vV + ,見圖 4-4(b)。觀念是在主動偏壓下,射基接面位障下降,

射極電洞注入基極,注入電洞在 n 型基極區中擴散並與電子復合。但是

如果中間這一層基極寬度 BW 很小時,則大部份的注入電洞可以幾乎不

與電子發生復合而直接擴散至集基接面空乏區邊緣,只要一進入此空乏

區,電洞即受電場加速被掃入集極,造成大量集極電流 Ci ;另一方面,

剛才電洞在基極擴散過程中,有少量與電子發生復合,造成了微小的基

極電流 Bi 。此時, Ci 遠大於 Bi ,故小量的 Bi 即可造成大量的 Ci ;也就是

說,此電晶體具有放大作用。

4.2 電流增益

4.2.1 主動偏壓的電流增益

考慮一個 pnp 電晶體在主動偏壓下之電流,見圖 4-5。為簡單起見,我

們以不同的電流分量來表示端電流(terminal currents)如下: EnEpE iii += (4-1)

CpC ii = (4-2)

CEB iii −= CpEpEn iii −+= (4-3)

其中 Epi 為射極電洞入射電流, Eni 為基極電子入射電流, Cpi 為由射極入

射穿越基極而到達集極之電洞電流,而 CpEp ii − 代表基極復合電流。圖中

顯示 Epi 遠大於 Eni ,這是因為假設射極摻雜濃度遠高於基極摻雜濃度,

故射極入射電洞電流將遠大於基極入射電子電流。 在描述雙載子電晶體特性時的一重要參數為共基電流增益(common- base current gain)α ,定義為

E

Cp

ii

Δ=α (4-4)

將(4-1)代入(4-4)可得

⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛+

=+=Δ

Ep

Cp

EnEp

Ep

EnEp

Cp

ii

iii

iii

α (4-5)

大部份的注入電洞可以幾

乎不與電子發生復合而直

接擴散至集基接面空乏區

邊緣。

4.2 電流增益 187

E

iEiEp

CpEp ii −

iC

C

pnp +

iBBvEB

vBC

電洞流

電子流

iCp

iEn

圖 4-5 pnp 電晶體在主動偏壓下之電流傳導。

右式第一項稱為注入效率(injection efficiency)γ ,它代表入射電洞電流與

全部射極電流之比:

EnEp

Ep

E

Ep

iii

ii

+==Δγ (4-6)

第二項稱為基極傳輸因子(base transport factor) Tα ,代表到達集極的電洞

電流與射極入射電洞電流之比:

Ep

CpT i

iΔ=α (4-7)

因此,

Tγαα = (4-8)

對於一個設計良好的電晶體而言,γ 和 Tα 均趨近於 1 而使得共基電流增

益α 非常接近 1。

188 第 4 章 雙載子接面電晶體

4.2.2 共基組態和共射組態

由(4-2)和(4-4)二式合併可得共基組態(common-base configuration)下,見

圖 4-6(a),輸出電流 Ci 與輸入電流 Ei 之關係式,

EC ii α= (4-9)

對於共射組態(common-emitter configuration)而言,見圖 4-6(b),上式可

改寫為

)( CBC iii += α (4-10)

BBC iii βα

α Δ=−=

1 (4-11)

其中 β 稱為共射電流增益(common-emitter current gain),

α

αβ−

=1

(4-12)

β

βα+

=1

(4-13)

以上給了我們一重要觀念第一,若射極摻雜 EN 遠超過基極摻雜

BN ,則注入效率γ 可接近於 1。第二,若基極寬度 BW 遠小於少數載體

擴散長度 PL ,則傳輸因子 Tα 可接近於 1。若以上二條件同時滿足,則

共基電流增益α 可趨近於 1,導致共射電流增益 β 相當大。

(a)

E

B

C

B

iE iC

(b)

E

B

E

CiC

iB

圖 4-6 (a)共基組態,(b)共射組態。

若射極摻雜 NE 遠超過基

極摻雜 NB且基極寬度 WB

遠小於少數載體擴散長度

LP,將導致共射電流增益

β相當大。

4.3 依柏斯-莫爾模型 189

4.3 依柏斯-莫爾模型

4.3.1 依柏斯-莫爾方程式

如圖 4-7 所示,為 1954 年由 Ebers 和 Moll 二人所提出電晶體之大訊號

模型。首先,我們寫出二極電流 DEi 和 DCi 之方程式:

)1( −= TBE VvESDE eIi (4-14)

)1( −= TBC VvCSDC eIi (4-15)

其中 ESI 和 CSI 分別代表在射基和集基接面之飽和電流。再由圖 4-7(b),

DCRDEE iii α−= (4-16)

DCDEFC iii −= α (4-17)

將(4-14)和(4-15)代入(4-16)和(4-17)即得依柏斯-莫爾方程式: )1()1( −−−= TBCTBE Vv

CSRVv

ESE eIeIi α (4-18)

)1()1( −−−= TBCTBE VvCS

VvESFC eIeIi α (4-19)

同理,pnp 電晶體所對應之依柏斯-莫爾方程式如下: )1()1( −−−= TCBTEB Vv

CSRVv

ESE eIeIi α (4-20)

(a)

+

−vBE iE

E

B+

−vBC

iC

C

(b)

B

iC

iDCvBC

+

iE

iDE vBE−

+

E

C

DEF iα

αRiDC

圖 4-7 (a)npn 電晶體電路符號,(b)npn 電晶體之依柏斯-莫爾模型。

選擇性閱讀

190 第 4 章 雙載子接面電晶體

)1()1( −−−= TCBTEB VvCS

VvESFC eIeIi α (4-21)

依柏斯-莫爾方程式主要描述四個變數 Ei 、 Ci 、 BEv 和 BCv 與四個電晶體

參數 ESI , CSI 、 Fα 和 Rα 之關係,此四個參數主要由摻雜和幾何結構所

決定,但由半導體理論可證明

CSRESF II αα = (4-22)

稱為倒置理論 (reciprocity theorem)。標準元件參數約為 99.0≅Fα ,

A10 15−≅ESI , 66.0≅Rα , A10 15−≅CSI 。

4.3.2 主動模式

考慮一 npn 電晶體若操作在主動模式,則將 0>BEv 且 TBE Vv >> 以及

0<BCv 且 TBC Vv >> 代入(4-19)式可得

CSVv

ESFC IeIi TBE += α (4-23)

忽略 CSI 可得一 npn 電晶體在順向主動區的一重要方程式,

TBE VvSC eIi = (4-24)

其中 ESFS II α≅ 。 另一方面,將 0>BEv 和 0<BCv 代入圖 4-7(b)後,由於集基接面逆偏,

故集極二極體關閉,即 0=DCi ,於是 0=DCRiα 。換言之,將圖 4-7(b)中之集基接面二極體以及 DCRiα 之電流源拆除後即可獲得圖 4-8 之順向主

(a)

DE iDE

B

E

C

αF iDE

(b)

DEvBE

B

E

C

+

TBE VvS eI

圖 4-8 一 npn 電晶體在順向主動區的大訊號模型:(a)以電流控制電流

源表示,(b)以電壓控制電流源表示。

一 npn 電晶體在順向主動

區存在一重要的方程式。

4.3 依柏斯-莫爾模型 191

動區之大訊號模型。其中圖 4-8(a)係以電流控制電流源表達,而圖 4-8(b)則是依據(4-24)式以電壓控制電流源來表示。

例題 1

利用依柏斯-莫爾方程式分別分析一 npn BJT 各種操作模式的特性: (a)順向主動模式。 (b)逆向主動模式。 (c)截止模式。 (d)飽和模式。

解:

(a) 順向主動模式(forward active mode): 0>BEv , 0<BCv ,假設

TBE Vv 4> 且 TBC Vv 4−≤ ,則由(4-19)式,

CS

VvESF

VvCS

VvESFC

IeI

eIeIiTBE

TBCTBE

+=

−−−=

α

α )1()1( (4-25)

由(4-18)式,

CSR

VvES

VvCSR

VvESE

IeI

eIeIiTBE

TBCTBE

α

α

+=

−−−= )1()1( (4-26)

將(4-26)式代入(4-25)式得 CSRFEFCSCSREFC IiIIii )1()( ααααα −+=+−= (4-27)

上式可改寫為 CBOEFC Iii += α (4-28)

其中 CSRFCBO II )1( αα−= (4-29)

CBOI 代表射極開路時( 0=Ei ),介於集極與基極間之漏電流。令

CBE iii += 代入(4-28)式可得

CSF

RFBFC Iii

αααβ

−−+=1

1 (4-30)

其中

F

FF α

αβ−

=1

(4-31)

(4-30)式可改寫為 CEOBFC Iii += β (4-32)

192 第 4 章 雙載子接面電晶體

其中

CSF

RFCEO II

ααα

−−=1

1 (4-33)

CEOI 代表在基極開路時( 0=Bi ),介於集極與射極間的漏電流。

上式亦可改寫為

CBOFF

CBOCEO III )1(

α+=

−= (4-34)

(b) 逆向主動模式(reverse active mode): 0<BEv , 0>BCv

由(4-18)和(4-19)式,

ESVv

CSRE IeIi TBC −−= α (4-35)

TBC VvCSESFC eIIi −−= α (4-36)

二式合併得

ESFRCRESESFCRE IiIIii )1()( −+=−+= ααααα (4-37)

ESR

FRBRE Iii

αααβ−

−+−=1

1 (4-38)

其中反向電流增益(reverse current gain) Rβ 為

R

RR α

αβ−

=1

(4-39)

代表意義為當電晶體倒過來使用,其電流增益 Rβ 通常遠小於

Fβ ,主要因為集極摻雜遠低於基極摻雜,注入效率很差之緣故。 (c) 截止模式(cutoff mode): 0<BEv , 0<BCv

CSRCSCSRCSESFC IIIIIi )1( ααα −=+−=+−= (4-40)

(d) 飽和模式(saturation mode): 0>BEv , 0>BCv

由(4-18)和(4-19)式,

TBCTBE VvCSR

VvESE eIeIi α−= (4-41)

TBCTBE VvCS

VvESFC eIeIi −= α (4-42)

(4-41)式代入(4-42)式得

TBC VvCSRFEFC eIii )1( −+= ααα (4-43)

4.4 靜態特性 193

TBC VvCS

F

RFB

F

FC eIii

ααα

αα

−−−

−=

11

1 (4-44)

(4-42)式代入(4-41)式得

TBE

TBE

TBETBE

VvES

R

RFB

R

R

VvESRFCR

VvESFRCR

VvESE

eIi

eIi

eIieIi

ααα

αα

ααα

ααα

−−+

−−=

−+=

−+=

11

1

)1(

TBE VvES

R

RFBR eIi

αααβ

−−+−=1

1 (4-45)

將(4-44)和(4-45)式合併

TCE Vv

CS

ES

R

F

BFC

BRc eII

iiii

αα

ββ

−−−=

−++

11)1( (4-46)

將所有參數以 Fα 和 Rα 表示,最後整理得

⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛ −−

−+=

F

F

B

CR

RB

C

TsatCE

ii

ii

VV

ααα

α

11

)1(1ln)( (4-47)

)(satCEV 為電晶體在飽和時最重要的參數。上式中,令 0=Ci 時所

得之 CEv 值定義為偏移電壓(offset voltage) CEVΔ

⎟⎟⎠

⎞⎜⎜⎝

⎛=Δ

RTCE VV

α1ln (4-48)

4.4 靜態特性

4.4.1 主動偏壓的少數載體分佈

圖 4-9 為一 npn 電晶體在主動偏壓下,不同區域內之少數載體分佈。其

中虛線內的區域分別代表射基接面空乏區和集基接面空乏區。另外,圖

中所示符號的意義整理如下: )(xpE 為射極區少數載體電洞濃度之分

佈, )(xnp 為基極區少數載體電子濃度之分佈, )(xpC 是集極區少數載體

電洞濃度之分佈, BQ 代表有效基極區內的少數載體儲存電荷, Eop 為熱

平衡時射極內的少數載體濃度, pon 為熱平衡時基極內的少數載體濃

度, Cop 是熱平衡時集極內的少數載體濃度。

選擇性閱讀

194 第 4 章 雙載子接面電晶體

濃度

射極 基極 集極

pEo

−xE 0

QB

npo

空乏區EBJ

n + p

pE(x)

np(x)

nP(0)

W xC

pC(x)

pCo

n−

空乏區CBJ

(1/cm3)

x

圖 4-9 一 npn 電晶體在主動偏壓下,不同區域內之少數載體分佈。

圖中顯示由於射基接面順偏,集基接面逆偏,故 )(xpE 和 )(xpC 即與

二極體的情況類似。但是,有效基極區內的分佈 )(xnp 則非常複雜(需由

半導體理論中之連續方程式求解)。不過,在基極寬度很小的條件下,即

忽略基極內的電子電洞復合效應, )(xnp 可以一直線近似,見圖 4-9。即

⎟⎠⎞

⎜⎝⎛ −≅

Wxnxn pp 1)0()( (4-49)

其中 W 亦可表為 )(effBW ,代表有效基極寬度(effective base width),又名

中性基極寬度(neutral base width)。根據接面定律, TBE Vv

pop enn =)0( (4-50)

TBC Vvpop enWn =)( (4-51)

因 0<BCv 且假設 TBC Vv >> ,故 0)( ≅Wnp 。

積體電路電晶體 如圖 4-10(a)所示為一積體電路式電晶體,通常為平面型。底部有一長條

+n 層稱為埋藏層(buried layer),以降低集極之電阻。其上方低摻雜的磊

晶層(epitaxial layer)作為集極區。在磊晶層內利用二次擴散技術分別做出

p-基極和 +n -射極。兩側的 2SiO 區為隔絕島(isolation island),作為元件

隔絕之用,又名場氧化層(field oxide)。請注意左側的 +n -區為射極區,

而右側的 +n -區則是為了與集極接點金屬間形成歐姆接觸。

在基極寬度很小的條件

下,即忽略基極內的電子

電洞復合效應,np(x)可以

一直線近似。

4.4 靜態特性 195

以目前較先進的製程技術,射極接點均以 +n 多晶矽(polysilicon)形成,

且利用離子佈植(ion implantation)可製成 +n 淺接面,即射極很薄, EW 一

般約 250nm。在此條件下,射極的少數載體分佈 )(xpE 可以一直線近似,

見圖 4-10(b)。 儲存電荷 基極內部儲存的超額電荷 BQ

⎥⎦⎤

⎢⎣⎡= )0(21

pEB WnqAQ (4-52)

其中 EA 代表射基接面的橫截面積。代入(4-50)式

TBE VvpoEB eqWnAQ

21= (4-53)

集極電流 令共基電流增益α 和注入效率γ 均趨近於 1,則

0

)()0(

=

=⋅=≅≅x

pnEnEEnEC dx

xdnqDAJAiii

W

nqDA pnE )0(= (4-54)

(4-50)代入(4-54)式可得

TBE VvponEC e

WnqDA

i = (4-55)

定義 SI 為飽和電流或電流尺寸因子(current scale factor),

(a)

n+多晶矽接點

SiO2場氧化層

n+ p

WB n 磊晶層

n+

E B C金屬 金屬

WE

n+埋藏層

p −基板

(b)

射極n +

基極p

np(x)

pE(x)pEo

−xE 0

pE(−xE)

WE

x

n +多晶矽接點

圖 4-10 (a)積體電路式電晶體之橫截面圖,(b)對 IC 電晶體而言,pE(x)亦可以用直線來近似。

196 第 4 章 雙載子接面電晶體

B

inEponES WN

nqDAW

nqDAI

2

== (4-56)

其中 BN 代表基極摻雜濃度,則(4-55)式可改寫為

TBE VvSC eIi = (4-57)

與(4-24)式一致。再次強調,上式僅適用於主動模式。 基極穿越時間 將(4-52)式除以(4-54)式可得一時間 Bτ

BnC

B

DW

iQ τ==

2

2

(4-58)

經詳細推導可證明出此時間 Bτ 即少數載體橫越基極所經歷的時間,稱為

基極穿越時間(base transit time)。改寫上式可得出主動偏壓下的電荷控制

方程式,

B

BC

Qiτ

= (4-59)

共射電流增益 參考圖 4-10(b)可得出基極電流 Bi ,

Exx

EpEEpEB dx

xdpqDAxJAi−=

−=−= )()(

E

EEpE

WxpqDA )(−

= TBE Vv

E

EopE eW

pqDA= (4-60)

將(4-55)式除以(4-60)式可得出共射電流增益 β ,

E

Eop

pon

B

C

WpD

WnD

ii

==β (4-61)

代入 Bipo Nnn 2= 和 =Eop Ei Nn2 可得

WNDWND

Bp

EEn=β (4-62)

若忽略 EBJ 和 CBJ 之空乏層寬度,則有效基極寬度 W 可以用實際寬度

BW 近似,則

BBp

EEn

WNDWND≅β (4-63)

τB 代表少數載體橫越基極

所經歷的時間,稱為基極

穿越時間。

4.4 靜態特性 197

(a)

E

B

C

B

iE iC

vBE

+

vCB

+

(b)

0

V6.0V5.0 −− 至

4

vCB

iE = 4mA

iC (mA)

飽和區主動區

1

2

3

iE = 0mA

1mA

2mA

3mA

圖 4-11 (a)共基組態下的一 npn 電晶體,(b)共基輸出特性曲線。

如同 4.2 節最後的結論若射極摻雜 EN 遠超過基極摻雜 BN 且基極寬

度愈薄,則共射電流增益 β 愈大。

4.4.2 共基輸出特性

如圖 4-11(a)所示,為一共基組態。在共基組態下,輸出電流 Ci 與輸出電

壓 CBv 之關係稱為共基輸出特性曲線,見圖 4-11(b)。 在圖中主動區部份,注意 CBv 大於−0.5V 或−0.6V 時,CBJ 處於逆偏狀

態,此時 BJT 位於主動區。基於 EC ii α= ,顯示在主動區 Ci 與 CBv 無關,

故為一水平線,且其值由 Ei 決定。本圖中,α 假設為 1。 當 CBv 到達−0.6V 附近時,CBJ 開始順偏,此時 CBv 只要再降一點,即

CBJ 順偏再增一點,則 CBJ 將產生一顯著的順偏電流,且其方向與 EBJ順偏傳輸至集極的電流相反,故 Ci 急轉直下,見圖 4-11(b)。

4.4.3 共射輸出特性

如圖 4-12(a)所示,為一共射組態。在共射組態下,輸出電流 Ci 與輸出電

壓 CEv 之關係稱為共射輸出特性曲線,見圖 4-12(b)。

若射極摻雜 NE 遠超過基

極摻雜 NB 且基極寬度愈

薄,則共射電流增益β愈大。

CBJ 順偏再增一點,則

CBJ 將產生一顯著的順偏

電流,且其方向與 EBJ 順偏傳輸至集極的電流相

反,故 iC急轉直下。

198 第 4 章 雙載子接面電晶體

(a)

E

B

C

iC

vBE

+ vCE

+

E

iB

(b)

VCE(sat)

0vCE

iC (mA)

飽和區

4

1

2

3

iB = 0μA

10μA

20μA

30μA

iB = 40μA

主動區

圖 4-12 (a)共射組態下的一 npn 電晶體,(b)共射輸出特性曲線,其中 VCE(sat)約在 0.1V 至 0.2V。

在圖中主動區部份,注意 CEv 大於 0.1V 或 0.2V 時(此值一般寫為

)(satCEV ,即集極與射極間之飽和電壓)時,CBJ 處於逆偏狀態,此時 BJT

位於主動區。基於 BC ii β= ,顯示在主動區 Ci 與 CEv 無關,故為一水平線,

且其值由 Bi 決定。本圖中, β 假設為 100。 當 CEv 到達 0.2V 附近時,CBJ 開始順偏,此時 CEv 只要再降一點,即

CBJ 順偏再增一點,則 CBJ 將產生一顯著的順偏電流,且其方向與 EBJ 順偏傳輸至集極的電流相反,故 Ci 急轉直下,見圖 4-12(b)。因此,在飽

和區中, BC ii β= 必然不成立。基於在飽和區 Ci 值下降,故(4-11)式需改

寫為

CB ii >⋅ β (4-64)

)(satsatB

C

ii ββ => (4-65)

其中 )(satβ 代表在飽和區中 Ci 與 Bi 之比,稱為飽和電流增益(saturation

current gain)。

4.4 靜態特性 199

結論是,在電流電壓特性曲線中,定電流區為主動區,而電流急轉直

下區為飽和區。對於共射特性曲線而言,在進入飽和區後 CEv 將是一很

低且不易變化的數值,約在 0.1V 至 0.2V 之間,一般以 )(satCEV 表示。

例題 2

考慮圖 4-10(a)之 IC npn 電晶體,假設此電晶體工作於主動區,令射基

接面截面積 EA 為 2m25μ 和集基接面截面積 CA 為 2m100μ 。 =BW 300nm和 EW 250= nm。此外,各區摻雜濃度為 318 cm105.7 ×=EN , =BN

317 cm10 ,和 316 cm105.1 ×=CN 。此外,電子在基極中的擴散率

seccm10 2=nD 和電洞在射極中的擴散率 seccm5 2=pD 。試計算:

(a) 飽和電流 SI 。 (b) A100μ=Ci 時所對應的 BEv 值。 (c) 共射電流增益 β 。 (d)若希望此電晶體的基極穿越時間 Bτ 為 7.5ps,問所需的基極寬度為

何?

解:

(a) 由(4-56)式,

A108.2

1010300)1045.1(10106.11025

17

177

210198

2

−−

×=

××××××××=

≅=BB

inEponES NW

nqDAW

nqDAI

(b) S

CTBE I

iVv ln= =⎟⎠⎞

⎜⎝⎛

×= −

17

4

108.210ln025.0 0.72V

(c) 由(4-63)式,

125300105

250105.71017

18

=××

×××==BBp

EEn

WNDWNDβ

(d) 由(4-58)式,

n

B

nB D

WD

W22

22

≅=τ

== BnB DW τ2 122.5nm

在 BJT 特性曲線中,定電

流區為主動區,而電流急

轉直下區為飽和區。對於

共射特性曲線而言,在進

入飽和區後 vCE 將是一很

低且不易變化的數值,約

在 0.1V 至 0.2V 之間,一

般以 VCE(sat)表示。

200 第 4 章 雙載子接面電晶體

4.5 實際的考慮

4.5.1 基極寬度調變

根據圖 4-11 與 4-12,電晶體在主動區域之集極電流 Ci 似乎與集基極電壓

CBv 或 CEv 無關。但事實上,在主動區內,任何電晶體其 Ci 均隨 CEv 之增

加而增加,見圖 4-13(a)。這將影響電晶體作為放大器之表現,這現象在

(a)

0vCE

iC (mA)

飽和區

4

1

2

3

iB = 0μA

10μA

20μA

30μA

iB = 40μA主動區

(b)

EC

B

iEn

CnEn ii −

iCn

p n

vBE vCB

n

iC

WB(eff ) Wd(CBJ)CBJ

空乏區EBJ

空乏區

iCniEn

圖 4-13 (a)一 npn 電晶體實際的共射輸出特性,注意,當 iB一定時, iC隨 vCE之增加而增加,(b)解釋基極寬度調變效應之 npn 電晶體

結構。

在主動區內,電晶體其 iC

均隨 vCE之增加而增加。

4.5 實際的考慮 201

1952 年首先由 J. Early 所提出,稱為歐萊效應(Early effect),又名基極寬

度調變效應(base-width modulation effect)。 對元件的影響 現考慮一 npn 電晶體在主動偏壓之下,見圖 4-13(b),若增加 CEv ,即 CBv增加,其中 BECBCE vvv += ,代表增加集基極逆向偏壓,則集基接面空乏

區寬度 )(CBJdW 增加,有效基極區寬度 )(effBW 相對縮小,於是入射電子在

基極區內與電洞復合的機會降低,即( CnEn ii − )下降,造成集極電子電流

Cni 增加,即 Ci 增加。也就是說,集極電流 Ci 將隨集基接面逆偏之增加而

增加。 擊穿效應 若所加集基極逆偏太大而使有效基極寬度縮減至零時,也就是集基接面

空乏區碰到射基接面空乏區,此時好像射極與集極產生 “有效短路”,造

成 Ci 大量增加,此現象稱為擊穿(punch-through)。

歐萊電壓 考慮 npn 電晶體之共射輸出特性,見圖 4-14。在圖中主動與飽和區的邊

界處作其切線,則此切線與 CEv 軸之交點定義一電壓稱為歐萊電壓(Early voltage),以 AV 表示。 AV 值的大小主要代表基極寬度調變效應的嚴重程

度。對於 IC npn 電晶體而言, AV 的合理值約為 100V。 假設在主動區內 Ci 對 CEv 之關係為線性,則考慮歐萊效應後,(4-57)式

應修正為

⎟⎠

⎞⎜⎝

⎛ +=A

CEVvSC V

veIi TBE 1 (4-66)

iC

vCE−VA

圖 4-14 歐萊電壓 VA的定義。

增加集基極逆向偏壓,則

集基接面空乏區寬度增

加,有效基極區寬度 WB(eff)

相對縮小。

202 第 4 章 雙載子接面電晶體

4.5.2 其他非理想特性

飽和電流 根據例題 1,我們曾解出兩種飽和電流,分別是 CBOI 和 CEOI ,見圖 4-15(a)和(b)。其中 CBOI 為射極開路時介於集基接面的漏電流,又名共基組態漏

電流,而 CEOI 為基極開路時介於集射接面的漏電流,又名共射組態漏電

流。根據例題 1 的推導,兩者的關係為 CBOCEO II )1( β+= (4-67)

電壓崩潰 參考圖 4-15(c)中右側插圖,當 v 增加時,代表集基接面的逆偏電壓增加,

當此電壓值過大時,將發生電晶體崩潰,電流 i 急轉直上。此時的轉角

電壓為 CBOBV ,代表射極開路時介於集基接面的崩潰電壓,又名共基崩

潰電壓。同理,參考圖 4-15(c)中左側插圖,當 v 增加時,亦代表集基接

面的逆偏電壓增加,當此電壓值過大時,將發生電晶體崩潰,電流 i 急轉直上。此時的轉角電壓為 CEOBV ,代表基極開路時介於集基接面的崩

(a)

iE

ICBO

vCB

B

CE

(b)

ICEOvCEB

C

E

iB

(c)

ICEO

ICBO

BVCBOBVCEO v

i

B

n p ni

− +v

E n p ni

− +v

圖 4-15 (a)共基組態的漏電流 ICBO,(b)共射組態的漏電流 ICEO,(c)飽和電流和崩潰電壓分別在共

基組態和共射組態之比較。

選擇性閱讀

4.5 實際的考慮 203

潰電壓,又名共射崩潰電壓。對於一般電晶體而言, CEOBV 通常遠小於

CBOBV 。

以上造成電晶體崩潰的機構主要有二:一為集基接面的纍增崩潰,二

為擊穿效應。 電流增益 圖 4-16(a)為一實驗數據,顯示一電晶體之集極電流 Ci 和基極電流 Bi 與射

基偏壓 BEv 之關係,基於在主動偏壓下,

TBE VvSC eIi = (4-68)

TBE VvSCB eIii

ββ== (4-69)

針對以上二式左右兩側取對數後分別可得

T

BESC V

vIi += lnln (4-70)

T

BESB V

vIi +=β

lnln (4-71)

(a)

10−14

10−12

10−10

10−8

10−6

10−4

10−2i (A)

0.2 0.4 0.6 0.8 1.0 vBE (V)

iB

iC

0

(b)

β

iC(A)10−10 10−8 10−6 10−4 10

102

103

10

圖 4-16 (a)集極電流和基極電流與射基電壓之關係,(b)共射電流增益與集極電流之關係。(本圖取

自 S. M. Sze and M. K. Lee, “Semiconductor Devices, Physics and Technology,” 3rd ed.

New York: Wiley, 2012.)

電晶體崩潰的機構主要有

二:一為集基接面的纍增

崩潰,二為擊穿效應。

204 第 4 章 雙載子接面電晶體

以上二式顯示在半對數座標中,對數電流 Ciln 或 Biln 與線性電壓 BEv 之關

係為一直線。圖 4-16(a)結果顯示當 Ci 在 A10 7− 至 A10 4− 間 Ci 與 Bi 之比值

幾乎固定,但在高電流區 Ci 之斜率開始下降,顯示 β 在高電流衰退。在

低電流區 Bi 之斜率開始下降,顯示 Bi 比預期的值高,也就是 β 在低電流

亦衰退,見圖 4-16(b)。結論是,在低電流區和高電流區電晶體之共射電

流增益均下降。

4.6 電晶體電路的直流分析

考慮圖 4-17(a)之 BJT 電路,將此電路簡化直流電源 BBV 和 CCV 後可表示

為圖 4-17(b)。假設 BBV 、 CCV 、 BR 和 CR 為已知電源和電阻,以及 BJT 的

特性參數(如 β 和 SI 等)或特性曲線亦已知。現在欲求出操作電壓 BEQV 和

CEQV 以及工作電流 BQI 和 CQI 。本節將介紹兩種方法求解本電路。

4.6.1 圖解法

考慮輸入端的射基接面二極體,其電流 Bi 與電壓 BEv 間之關係為類似二

極體之指數曲線。讀者可參考先前在(4-69)式所提及的方程式,即主動

區時

TBE VvSB eIi

β= (4-72)

(a)

RB

VBB

RC

VCC

(b)

RB

RC

VCC

VBB

+

vBE=VBEQ

iB=IBQ

+

vCE=VCEQ

iC=ICQ

圖 4-17 (a)一簡單的電晶體電路,(b)(a)中電路之簡化電源 VBB和 VCC後之表示法。

在低電流區和高電流區電

晶體之共射電流增益均下

降。

4.6 電晶體電路的直流分析 205

又 Bi 與 BEv 必須滿足 KVL 迴路方程式

BEBBBB vRiV += (4-73)

將此二曲線繪於 BEB vi − 平面上可解出輸入端操作點(operating point)

INQ ,對應解分別為 BQI 和 BEQV ,見圖 4-18(a)。

另一方面,引用圖 4-12(b)之共射輸出特性,再寫下一 KVL 負載線方

程式 CECCCC vRiV += (4-74)

見圖 4-18(b)。假設先前在圖 4-18(a)中之 BQI 為 A20μ ,將此值代入圖

4-18(b)即可解出輸出端操作點 OUTQ ,對應座標值即為 CQI 和 CEQV 。

4.6.2 定電壓降模型

這裡的作法是先假設電晶體在某個模式下工作,然後利用元件在此模式

下的特性加以計算,最後由計算出來的數據再回頭去驗證原先之假設。

若成立,則這些數據即為一自我一致的解(self-consistent solution);若不

成立,則必須重新假設,直到找出一組自我一致的解為止,這種作法即

稱為自我一致近似。假設在主動區, BEV 可取 0.7V。若在飽和區, BEV 仍

取 0.7V,而 )(satCEV 可取 0.1V 至 0.3V。為了進一步了解此一方法,讀者

可參考以下例題。

(a)

iB

B

BB

RV

IBQ

VBEQ

QIN

VBB vBE

BR1−=斜率

(b)

0VCEQ VCC

vCE

QOUT

iB = 0

iB = 10μA

iB = 20μA(IBQ)

iB = 30μA

iB = 40μACR1−=斜率

1

2

3

4C

CC

RV

iC (mA)

ICQ

圖 4-18 圖解法求解圖 4-17 電路:(a)由輸入射基二極體之特性曲線和(4-72)式聯立解出輸入端操

作點,(b)由共射輸出特性曲線決定集極電流和集射電壓。

206 第 4 章 雙載子接面電晶體

(a)

+10V

RC = 4kΩ

RE = 3.3kΩ

+4V

(b)

+10V

4kΩ+4V

0.99×1 = 0.99mA3

1.00 − 0.99 = 0.01mA4

5

1 4 − 0.7 = 3.3V

3.3kΩ 2 mA13.33.3 =

V6499.010 ≅×−

圖 4-19 例題 3:(a)電路圖,(b)分析過程。

例題 3

圖 4-19(a)為一電晶體電子電路,習慣上可將直流電源,以附圖的方法

簡易表示。令 100=β ,

(a) 分析此電路並計算所有節點電壓與分支電流。 (b)將(a)中的 Ωk4 電阻改為 Ωk13 ,重做(a)。

(c)將(a)中的+4V 直流電源改為+0V,重做(a)。

解:

(a)

+10V

13kΩ

3.3kΩ

+4V+3.5V

+3.3V1mA2

1

3

0.5mA5

0.5mA4

(b)

+10V

4kΩ

+10V

0mA

0mA

0V

3.3kΩ0mA

圖 4-20 例題 3:(a)(b)的分析過程,(b)(c)的分析過程。

4.6 電晶體電路的直流分析 207

(a) 假設主動操作模式,則

=−≅−= 7.044 BEE VV 3.3V

==3.33.3

EI 1mA

=+

== EEC IIIβ

βα1

0.99mA

499.010 ×−=−= CCCCC RIVV ~− 6V

≅+

=β1

EB

II 0.01mA

所有節點電壓和分支電流見圖 4-19(b)。此外,

=−=−= 46BCCB VVV 2V

集基接面被逆偏 2V,故電晶體確實是在主動區操作。 (b) 參考圖 4-20(a),假設電晶體在飽和區操作且 =)(satCEV 0.2V

=−=3.3

7.04EI 1mA

=−−=13

2.03.310CI 0.5mA

=−= CEB III 0.5mA

因此,

15.05.0

)( ===B

Csat I

滿足 ββ <)(sat ,故電晶體確實在飽和區操作。

(c) 此題若假設射基接面順偏,必然會發現有矛盾存在,故電晶體

只有在截止區操作。

=== CBE III 0 mA

=EV 0V

=CV 10V

計算結果見圖 4-20(b)。

例題 4

如圖 4-21 所示,當 Iv 由−10V 變遷至+15V 時 Ov 之變化量,即計算 Ov )V15( +=Iv Ov− ( V10−=Iv )。假設 100=β 。

208 第 4 章 雙載子接面電晶體

QP 1kΩ

vO

−15V

+15V

QN

42kΩvI

圖 4-21 例題 4:電路圖。

解:

=Iv +15V:參考圖 4-22(a)得 NQ 主動和 PQ 截止,由 KVL 得

1)1(7.04215 11 ×+++×= BB II β

解得 =1BI 0.1mA。因此

=×+= 1)1( 1BO Iv β 10.1V

=Iv −10V:參考圖 4-22(b)得 NQ 截止和 PQ 主動,由 KVL 得

22 421)1(7.010 BB II +×+=− β

(a)

1kΩ

−15V

+15V

42kΩ+15V

IB1

IB1

+0.7V

0

0

1)1( BIβ+

vO

QN

QP

(b)

1kΩ

−15V

+15V

42kΩ

IB2

+0.7V

0−10V

0

IB2

vO2)1( BIβ+

QN

QP

圖 4-22 例題 4 的分析:(a)當 vI = 15V,(b)當 vI = −10V。

4.7 分立電路的偏壓設計 209

解得 =2BI 0.065mA。因此

V57.61065.01010 −=××−=Ov

於是 Ov 之變化量 OvΔ 為

V67.16)57.6(1.10

)V10()V15(

=−−=

−=−+==Δ IOIOO vvvvv

4.7 分立電路的偏壓設計

偏壓(bias)問題主要是討論如何在電晶體的集極(或射極)建立一定值直

流電流。此電流必須是可以計算、預測,以及對溫度的變化和 β 的變化

要不敏感。本節特別介紹BJT的熱不穩性以及兩種常見分立電路(discrete circuit)的偏壓技術。

4.7.1 熱不穩性

電晶體偏壓不穩定的一重要原因就是溫度的變化。由(4-32)和(4-34)二式

知, CBOBC III )1( ββ ++= (4-75)

若溫度上升導致 CBOI 上升,此時電晶體作為電路元件應用時,可能會引

起相當大的困難。譬如說,集極電流 CI 會使集基接面溫度昇高,因而引

起 CBOI 增加。由於 CBOI 的增加, CI 又會變大,這會使接面溫度昇得更高,

因此 CBOI 變得更大。這樣循環下去,集極電流會超過電晶體的額定值

(ratings),因而將電晶體燒掉,這種現象被稱為熱破壞(thermal runaway)。

4.7.2 雙電源偏壓

若有兩個電源供應器 CCV 和 EEV− ,則可利用圖 4-23 的偏壓電路。寫下一

迴路方程式得

EEQBEBBQEE RIVRIV ++= (4-76)

代入

β+

=1

EQBQ

II (4-77)

則可解出射極偏壓電流 EQI 為

偏壓問題主要是討論如何

在電晶體的集極或射極建

立一定值直流電流。

210 第 4 章 雙載子接面電晶體

RC

ICQ

VCC

IEQ

RE

−VEE

RB

IBQ

圖 4-23 利用雙電源供應器之偏壓。

1++

−=

βB

E

BEEEEQ RR

VVI (4-78)

因為 BEV 是溫度的函數,而 β 則是溫度與偏壓電流的複雜函數。一般而

言,溫度上升,共射電流增益 β 亦增加。至於 β 與偏壓電流的關係則需

回顧圖 4-16(b)。 於是欲獲得偏壓穩定之設計條件為

BEEE VV >> (4-79)

β+

>>1

BE

RR (4-80)

4.7.3 單電源偏壓

若只有一電源供應器是現成的,則圖 4-24(a)之電路為一電晶體放大器最

常用的一種偏壓安排,又稱為自偏電路(self-biasing circuit)。圖 4-24(b)為一以戴維寧等效電路取代圖 4-24(a)中之分壓網路。由圖 4-24(a)中得,

⎟⎟⎠

⎞⎜⎜⎝

⎛+−=−

−=

21121

11RR

VR

VRV

RVVI B

CCBBCCBQ (4-81)

而由戴維寧等效電路可得

溫度上升,共射電流增益

β 亦增加。

4.7 分立電路的偏壓設計 211

(a)

VB

RC

ICQ

VCC

IEQ

RE

B

R1

R2

IBQ

(b)

RC

ICQ

VCC

IEQ

RE

B

VB

IBQ

RTH

VTH

圖 4-24 (a)利用一個電源供應器之古典偏壓電路,(b)輸入偏壓電路以

戴維寧等效表示。

TH

B

TH

TH

TH

BTHBQ R

VRV

RVVI −=−= (4-82)

比較以上二式發現,只要令二式中右式的第二項相等即可解出等效戴維

寧內阻 THR 為

21

1

21

11 RRRR

RTH =⎟⎟⎠

⎞⎜⎜⎝

⎛+=

(4-83)

代回(4-82)式,再令(4-81)和(4-82)式中右式的第一項相等即可解出等效

戴維寧電源 THV

21

2

RRRVV CCTH +

= (4-84)

由圖 4-24(b)可寫下一迴路方程式,並代入 )1( += βEQBQ II ,即可決定電

流 EQI

1++

−=

βTH

E

BETHEQ RR

VVI (4-85)

欲造成 EQI 對溫度和 β 的變化不敏感,我們將設計此電路使之滿足下列

二條件:

BETH VV >> (4-86)

212 第 4 章 雙載子接面電晶體

1+

>>β

THE

RR (4-87)

例題 5

參考圖 4-24(a),令 =β 200, Ω== k5021 RR , Ω== k2EC RR , =CCV 20V。

分析此電路,解出各節點電壓與分支電流,並確認 BJT 是否工作於順

向主動區。

解:

根據(4-83)和(4-84)二式,

=+

×=+

=5050

502021

2

RRRVV CCTH 10V

和 Ω== k255050THR

代入(4-85)式得

=+

−=

++

−=

201252

7.010

1 βTH

E

BETHEQ RR

VVI 4.4mA

集極電流 CQI

=×== 4.4201200

EQCQ II α 4.38mA

基極電流 BQI

==+

=201

4.41 β

EQBQ

II 0.02mA

射極電壓為 =×== 24.4EEQEQ RIV 8.8V

集極電壓為 =×−=−= 238.420CCQCCCQ RIVV 11.2V

基極電壓為 =+=+= 8.87.0EQBEBQ VVV 9.5V

由於 =−= BQCQCB VVV 1.7V,代表 CBJ 逆偏,故 BJT 工作於順向主

動區。

4.8 小訊號模型 213

4.8 小訊號模型

欲當作一放大器使用,則電晶體必須在主動區操作。而偏壓問題就是在

電晶體的集極(或射極)建立一定值直流電流,此電流必須要可以預測,

且對溫度變化和 β 值的變化不敏感等。各種不同的偏壓技巧已在上一節

中討論。 考慮一觀念性的電路,見圖 4-25。假設 BJT 偏壓在主動區且偏壓電流

CQI 是被一直流電壓 BEQV (電池)所建立,因此在 0=bev 的條件下,

TBEQ VVSCQ eII = (4-88)

4.8.1 一階模型

轉導 當訊號 bev 加上去後,見圖 4-25,則全部瞬時基射電壓 BEv 變為

beBEQBE vVv += (4-89)

所對應的集極電流變為

TbeBEQTBE VvVS

VvSC eIeIi )( +==

TbeTbeTBEQ VvCQ

VvVVS eIeeI == (4-90)

假設 Tbe Vv << ,則可將(4-90)式近似成

RC

VCC

+

vBE

vbe

VBEQ

cCQC iIi +=

cCQC vVv +=

−+

圖 4-25 電晶體當作一放大器的操作。

欲當作一放大器使用,則

電晶體必須在主動區操

作。

214 第 4 章 雙載子接面電晶體

⎟⎠

⎞⎜⎝

⎛ +≅T

beCQC V

vIi 1 (4-91)

cCQbeT

CQCQC iIv

VI

Ii +=+= (4-92)

上式只對 bev 大約小於 10mV 成立,稱為小訊號近似。因此,集極電流包

含一直流偏壓 CQI 和一訊號成份 ci ,其中 ci 為

beT

CQc v

VI

i = (4-93)

此方程式說明了集極訊號電流和基射訊號電壓間之線性關係,我們將它

改寫成 bemc vgi = (4-94)

其中 mg 稱為轉導(transconductance),由(4-93)式得

T

CQm V

Ig = (4-95)

可看出雙載子電晶體的轉導直接正比於集極偏壓電流 CQI ,其值與元件

的尺寸無關,完全由 CQI 和 TV 決定。因此,如果希望得到一定值和可預

測的 mg ,就必須要建立一定值和可預測的 CQI 。

iC

ICQ

VBEQ

Q

vbe

vBE

ic

t

斜率=gm

圖 4-26 在小訊號條件下電晶體的線性操作。

轉導直接正比於集極偏壓

電流 ICQ,其值與元件的尺

寸無關,完全由 ICQ和 VT

決定。

4.8 小訊號模型 215

轉導所代表的意義見圖 4-26,如圖所示, mg 等於 BEC vi − 特性曲線在

CQC Ii = 的斜率,即

T

CQ

Ii

VvS

TIiBE

Cm V

IeI

Vvig

CQC

TBE

CQC

==∂∂=

==

1 (4-96)

由圖 4-26 使我們獲得一重要觀念:小訊號近似將使得訊號振幅夠小,

以致於電晶體的操作被侷限在 BEC vi − 指數曲線上一範圍很小幾乎線性

的線段上。但若增加訊號振幅,將導致集極電流額外產生與 BEv 成非線

性關係的成份。 電壓增益 圖 4-25 中,全部集極電壓 Cv 為

CcCQCCCCCCC RiIVRiVv )( +−=−=

CcCQCcCCQCC RiVRiRIV −=−−= )( (4-97)

CQV 為在集極之直流電壓,而訊號電壓為

CbemCcc RvgRiv −=−= (4-98)

因此放大器之電壓增益(voltage gain)為

電壓增益 Cmbe

c Rgvv

−==Δ (4-99)

這裡我們再次注意,因為 mg 直接正比於集極偏壓電流,故增益是否穩定

將由集極偏壓電流之穩定性來決定。 另一方面,若將圖 4-25 中之直流成份(包括 BEQV 、 CCV 和 CQI )移去後即

可獲得圖 4-27 之電路,此電路不再具有直流成份,故稱為交流等效電路

(ac equivalent circuit)。在圖 4-27 中,可直接計算交流輸出電壓 cv ,

Ccc Riv −= 0 (4-100) 此即(4-98)式。最後請注意,圖 4-27 中 CR 上方與 bev 下方的接地並非原

始電路(圖 4-25)的接地,亦非全部電壓的接地,這是一種移去直流成份

後導致的接地,稱為 ac 接地或訊號接地(signal ground)。 基極電阻與混合−π模型 定義 ac 電流增益(ac current gain) acβ 為

0==

Δ =ΔΔ

=ceCE vb

c

vB

Cac i

iii

常數

β (4-101)

假設 acβ 與大訊號電流增益 β 相等,故訊號電流 bi 可直接表示成

小訊號近似將使得訊號振

幅夠小,以致於電晶體的

操作被侷限在 iC − vBE 指

數曲線上一範圍很小幾乎

線性的線段上。

移去直流成份後導致的接

地,稱為 ac 接地。

216 第 4 章 雙載子接面電晶體

RC

vbe

vc

ic

−+

圖 4-27 將圖 4-25 電路中之直流成份移去後所得到的交流等效電路。

ββ

bemcb

vgii == (4-102)

所以介於基極和射極之間且由基極端看進去的小訊號輸入電阻 πr 定義為

mb

be

givr β

π ==Δ (4-103)

因此 πr 直接由 β 決定,且與偏壓電流 CQI 成反比。或將(4-96)式代入(4-103)

式得

BQ

T

IVr =π (4-104)

此外,對照(4-96)式對 mg 的解釋,可寫下 πr 的另一種定義方式:

1−

⎟⎟⎠

⎞⎜⎜⎝

∂∂=

QBE

B

vir

操作點

π (4-105)

即圖 4-28 中操作點的斜率倒數。 對小訊號而言,雙載子接面電晶體(BJT)可以用圖 4-29(a)的等效電路

來表示,習慣上稱為混合-π 模型(hybrid-π model)。注意此等效電路適

用於某一個特定的偏壓點,因為其中的兩個參數 mg 和 πr 均與 CQI 的值有

關。另外,為了和文獻上一致,我們將 bev 改寫為 πv 。 因為輸出電流 πvgm 可被寫成

bm ivg βπ = (4-106)

故等效電路亦可以圖 4-29(b)中之電流控制電流源 biβ 來表示。

介於基極和射極之間且由

基極端看進去的小訊號輸

入電阻為 rπ。

4.8 小訊號模型 217

iB

IBQ

VBEQ

vbe

vBE

ib

t

Q

π=

r1

斜率

圖 4-28 在小訊號條件下電晶體的線性操作與 rπ之幾何意義。

射極電阻與 T-等效模型 定義射極電阻(emitter resistance) er 為

e

bee i

vr Δ= (4-107)

代入 αce ii = 後可得

m

e gr α= (4-108)

(a)

+

vπ rπ

B

E

gmvπ

C

E

(b)

B

E

C

E

ib

β ib

圖 4-29 BJT 的一階小訊號模型的兩種等效模式,此模型稱為混合-π模

型,且可適用於 npn 和 pnp 電晶體。

218 第 4 章 雙載子接面電晶體

(a)

reie

B

α ie

CE

(b)

gmvπre

B

CE−

+vπ

圖 4-30 BJT 的另一種小訊號模型,又名 T-等效模型:(a)電流控制電流源表示法,(b)電壓控制電

流源表示法。

將 TCQm VIg = 代入上式可得

EQ

Te I

Vr = (4-109)

er 之最佳解釋為介於基極與射極之間由射極端看進去的電阻。請特別注

意 er 與 πr 不同且其間關係為

err )1( += βπ (4-110)

另一種利用 er 來表示的小訊號模型見圖 4-30,由於此模型外表看起來

近似一英文字母 T,故又名 T-等效模型。圖 4-30(a)是以電流控制電流源

eiα 表示,而圖 4-30(b)則是以電壓控制電流源 πvgm 來表示。

4.8.2 輸出電阻與寄生電容

輸出電阻 針對全部瞬時訊號可將(4-66)式改寫為

⎟⎠

⎞⎜⎝

⎛ +=A

CEVvSC V

veIi TBE 1 (4-111)

因為全部瞬時電壓 CEv 可表示為

ceCEQCE vVv += (4-112)

⎟⎠

⎞⎜⎝

⎛ ++= +

A

ceCEQVvVSC V

vVeIi TbeBEQ 1)( (4-113)

令 bev 滿足小訊號近似,即 Tbe Vv << ,則經泰勒級數展開可近似為

re 之最佳解釋為介於基極

與射極之間由射極端看進

去的電阻。

選擇性閱讀

4.8 小訊號模型 219

⎟⎠

⎞⎜⎝

⎛ ++⎟⎠

⎞⎜⎝

⎛ +≅A

ce

A

CEQ

T

beVVSC V

vV

VVveIi TBEQ 11 (4-114)

因為

⎟⎠

⎞⎜⎝

⎛ +=A

CEQVVSCQ V

VeII TBEQ 1 (4-115)

假設 ACEQ VV << ,則

TBEQ VVSCQ eII ≅ (4-116)

於是(4-114)式可改寫

ceA

CQbe

T

CQCQ

cebeAT

CQce

A

CQbe

T

CQCQC

vVI

vVI

I

vvVV

Iv

VI

vVI

Ii

++≅

+++≅

cCQ iI += (4-117)

於是

ceo

bemc vr

vgi 1+= (4-118)

在本書中交流成份亦可以相量(phasor)表示法,即

ceo

bemc Vr

VgI 1+= (4-119)

其中 mg 與(4-95)式相同,而 or 代表電晶體的輸出電阻(output resistance),

CQ

Ao I

Vr = (4-120)

其中 CQI 為直流集極偏壓電流和 AV 為電晶體的歐萊電壓,標準值在 50V

至 100V 左右,故 or 的標準值約在 Ωk100 附近。 or 主要是為了描述電晶體在主動區操作時,集射電壓對集極電流的調

變效應。在描述二階模型時,可將輸出電阻 or 放入混合-π 模型內,如圖

4-31(a)。另一方面,讀者亦可由微分電阻的觀點推導 or ,

11

1−−

=

Δ ⎟⎠

⎞⎜⎝

⎛ ⋅=⎟⎟⎠

⎞⎜⎜⎝

∂∂

= TBEQ

BEQBE

VVS

AVvCE

Co eI

Vvi

r

ro 主要是為了描述電晶體

在主動區操作時,集射電

壓對集極電流的調變效

應,即基極寬度調變效

應。

220 第 4 章 雙載子接面電晶體

CQ

A

ACEQ

CQ

A IV

VVI

V≅⎟⎟

⎞⎜⎜⎝

⎛+

⋅=−1

11 (4-121)

與(4-120)式的結果相同。 電晶體電容 考慮電晶體電容和輸出電阻後的混合-π 模型見圖 4-31(b)。其中射基接

面電容以 πC 表示,集基接面電容以 μC 表示。回顧 pn 接面理論,計有兩

種電容效應:第一種是空乏電容 jC ,即當橫越接面電壓變化時,造成空

乏區內空間電荷之變化,其模型以空乏電容 jC 表示。第二種為擴散電容

dC ,代表當橫越接面電壓變化時,造成在中性 p 型和 n 型區內多出儲存

少數載體電荷之變化,其模型以擴散電容 dC 表示。注意,擴散電容 dC 正

比於流過接面之電流;而對逆偏接面而言, dC 為零。

電晶體當作放大器必然在主動區操作,即射基接面順偏,和集基接面

逆偏,故電晶體電容 πC 和 μC 可分別表為

)()( EBJdEBJj CCC +=π (4-122)

)(CBJjCC =μ (4-123)

其中 )( EBJjC 為射基接面之空乏電容, )( EBJdC 為射基接面之擴散電容(又名

基極充電電容 bC ), )(CBJjC 代表集基接面之空乏電容。其中空乏電容部份

可由上一章 pn 接面理論,

EBJEBJd

SEBJj A

WC

)()(

∈= (4-124)

CBJCBJd

SCBJj A

WC

)()(

∈= (4-125)

(a)

+

vπ rπ

B

E

gmvπ

C

E

ro

ic

+

vce

(b)

+

vπ Cπ

B

E

gmvπ

C

E

rorπ

圖 4-31 (a)考慮輸出電阻 ro後所得之模型,(b)(a)中加入寄生電容 Cπ和 Cμ。

射基接面電容以 Cπ 表

示,集基接面電容以 Cμ

表示。

Cj(EBJ)為射基接面之空乏

電容,Cd(EBJ)為射基接面之

擴散電容(又名基極充電

電容 Cb),Cj(CBJ)代表集基

接面之空乏電容。

4.8 小訊號模型 221

其中 )(EBJdW 代表射基接面空乏層寬度, )(CBJdW 為集基接面空乏層寬度,

EBJA 代表射基接面橫截面積, CBJA 為集基接面橫截面積。

根據第 3 章對空乏電容的另一種表示法,以上二式可改寫為

em

EBJbi

BE

EBJjoEBJj

VV

CC

⎟⎟⎠

⎞⎜⎜⎝

⎛−

=

)(

)()(

1

(4-126)

cm

CBJbi

CB

CBJjoCBJj

VV

CC

⎟⎟⎠

⎞⎜⎜⎝

⎛+

=

)(

)()(

1

(4-127)

其中 em 與 cm 分別為 EBJ 與 CBJ 二接面之梯度係數。 至於基極充電電容(base charging capacitance) bC (又可表示為 )( EBJdC )之

推導需要訴諸於半導體理論,由電荷控制方程式,即(4-59)式,

B

BC

Qiτ

= (4-128)

其中 Bτ 代表少數載體橫越基極所經歷的時間,稱為基極穿越時間。於是

mB

Q

BCBEQBE

Bb gi

vvQC ττ =

∂∂=

∂∂

=Δ操作點操作點

)( (4-129)

T

CQBb V

IC

τ= (4-130)

注意 bC 與偏壓電流 CQI 成正比。一般 πC 約在幾個微微法拉(picofarads)到

幾十微微法拉之間,而 μC 一般在 1 到 2 微微法拉之間。

4.8.3 二階小訊號模型

圖4-32顯示一完整的低頻混合-π 等效電路模型,除了原本的模型參數 πr和 mg 之外,此模型還包括了 or 、 μr 和 br 。其中 μr 主要描述逆偏集基接面

所產生的微小漏電流效應,其值通常遠大於 or ,甚至可以將 μr 寫成約等

於 orβ 。在等效模型中放入 μr 已破壞電晶體單方向傳送的特性,將使得

分析時變得較為複雜。幸運的是, μr 之值非常大,以致在一般情況下,

μr 可以忽略。

Cb與偏壓電流 ICQ成正比。

rμ主要描述逆偏集基接面

所產生的微小漏電流效

應,其值通常遠大於 ro。

222 第 4 章 雙載子接面電晶體

+

vπ rπ

B

E

gmvπ

C

E

ro

rμrb B'

圖 4-32 低頻混合-π模型。

+

vπ Cπ

B

E

gmvπ

C

E

ro

Cμrb B'

圖 4-33 高頻混合-π模型。

電阻 br (習慣上亦可用 xr 或 bbr ′ 表示)用於描述基極金屬接點B 和內部

基極接點B' 間的電阻,一般稱為基極散佈電阻 (base spreading resistance)。 說得具體些,以 npn 電晶體在主動區操作為例,射極電子越過射基接

面後,少數電子將經過一狹長的區域才由金屬接點流至外界電路,而 rb

主要就是描述在此狹長基極區域內之串聯電阻效應,其標準值約在幾十

歐姆附近,遠小於 πr 。 圖 4-33 顯示一高頻混合-π 模型,包括了所有低頻模型中的電阻和兩

個電容。而電阻 μr 省略的原因為在適當的頻率範圍內, μC 的電抗

(reactance)遠小於 μr 。

4.8.4 圖解法

在上一節曾經介紹利用圖解法作直流分析。仍然考慮圖 4-17 中的電路,

若負載線與對應 BQI 的特性曲線之交點落在主動區,則電晶體在主動模

式下操作。這點我們已經在圖 4-18 說明過。 現有一輸入訊號 bv 疊加在 BBV 之上,見圖 4-34(a)與圖 4-34(b)中之標示

1 ,則將產生一對應的基極電流訊號 bi (標示3 )和一基射電壓 πv (標示

2 )。如圖 4-34(b)所示,若 bv “足夠小”,則瞬時操作點(instantaneous operation point)將在 BEB vi − 指數曲線上一範圍很小近似線性

rb 主要就是描述在此狹長

基極區域內之串聯電阻效

應。

選擇性閱讀

4.8 小訊號模型 223

(a)

RC

VCC

+

vBEvb

VBB

iC

+

vCE

RB

iB

bBQB iIi +=

πvVv BEQBE +=

cCQC iIi +=

ceCEQCE vVv +=

−+

(b)

34

1

2

iB

B

BB

RV

IBQM

IBQm

0

IBQ

VBEQ

QMQ

Qm

VBB

ib

t

t t

ic

vbtvπ

vBE

β

(c)

5

QM

vCE

t

VCC

ic4

vce

t

VCEQ

ICQm

0

ICQ

ICQM

iC

C

CC

RV

Q

iB=IBQM

iB=IBQ

iB=IBQmQm

圖 4-34 一放大器電路及其圖解分析過程:(a)電路圖,(b)輸入特性,(c)輸出特性。

224 第 4 章 雙載子接面電晶體

的線段上來回移動。圖 4-34 中有五個交流訊號 bv 、 πv 、 bi 、 ci 和 cev ,

彼此間之關係為

π

ππ rR

rvvB

b += (4-131)

πv 在圖 4-34(b)的操作點 Q 附近振盪即產生訊號電流 bi

BQT

b IVv

rvi π

π

π == (4-132)

bi 經 BJT 放大產生電流 ci (標示4 ),

bc ii β= (4-133)

將 ci 代入圖 4-34(c)中產生 cev (標示5 )

π

πββrvRRiRiv CCbCcce −=−=−= b

B

C vrR

rrR

π

π

π

β+

⋅−= (4-134)

於是

電壓增益π

π

rRrRg

vv

BCm

b

ce

+−== (4-135)

讀者欲清楚了解此圖解過程,可按圖 4-34(b)和(c)所指示的順序1 至

5 觀察訊號的變化。

例題 6

(a)

vi

voRBB = 100kΩ

VBB=3V

RC = 2kΩ

VCC = +10V

−+

(b)

100kΩ

2kΩ

+10V

2.323mA

+5.4V

2.3mA+3V

0.023mA+0.7V

圖 4-35 例題 6:(a)電路圖,(b)直流分析。

4.8 小訊號模型 225

圖 4-35(a)所示為一電晶體放大器電路,令 100=β 。

(a)決定直流操作點。 (b)計算小訊號參數。 (c)計算電壓增益。

解:

(a) 直流分析:首先必須求出靜態操作點。令 0=iv 得基極電流 BI

=−≅−=100

7.03

BB

BEBBB R

VVI 0.023mA

集極電流 CI 為

=×== 023.0100BC II β 2.3mA

集極電壓 CV 為

=×−=−= 23.210CCCCC RIVV 5.4V

因為 =BV 0.7V,代表 CBJ 逆偏 4.7V,所以元件將在主動區操作。

直流分析的結果顯示在圖 4-35(b)。 (b) 接下來就要決定小訊號模型參數:

Ω=== 8.10mA

99.03.2mV25

E

Te I

Vr

轉導為

mA/V92mV25mA3.2 ===

T

Cm V

Ig

基極電阻為

Ω=== k09.192

100

mgr βπ

(c) 訊號分析: 小訊號等效電路見圖 4-36。注意,在此等效電路中沒有任何直

流量;且直流電源電壓 CCV 也被一短路所取代,也就在此端點之

訊號電壓為零。換言之,接到定值直流源的一電路端點可以被

視為訊號接地,此即在圖 4-27 中我們提過的觀念。因為

Cmo Rg

vv −=

π

226 第 4 章 雙載子接面電晶體

+

vπrπ

E

gmvπ

C

E

voviRC=2kΩ

BJTRBB=100kΩ

−+B

+

圖 4-36 例題 6:小訊號等效電路。

π

ππ

rRr

vv

BBi +=

以上二式聯立可得

VV209.1100

09.1292 −≅+

××−=+

−=π

π

rRrRg

vv

BBCm

i

o

4.9 共射放大器

圖 4-37 為一在共射(射極接地)組態之下古典 BJT 放大器之完整電路。此

電路使用第 4.7節中之偏壓安排,圖 4-37(a)為單電源偏壓型式,圖 4-37(b)則為雙電源偏壓型式。

4.9.1 耦合和旁路電容

耦合電容 如圖 4-37 所示,一具有電阻 sR 的訊號源 sv 經由一電容 1CC 被耦合入電晶

體的基極。耦合電容(coupling capacitor) 1CC 的值必須選得足夠大,以使

得在考慮的頻率範圍內,可視為交流短路。關於這點說明如下:假設 sv為一頻率 =f 2kHz 之正弦電壓訊號,電容 F101 μ=CC ,則耦合電容阻抗

CZ 之大小為

Ω=××××

== − 96.710101022

12

163

1 ππ CC fC

Z (4-136)

此值一般遠小於 1R 、 2R 和 πr 等電阻,故可忽略。而在集極的輸出訊號

經由另一個足夠大的耦合電容 2CC 被耦合至負載電阻 LR 。

4.9 共射放大器 227

(a)

RL

vo

∞=ECRE

∞=2CCRC

∞=1CC

vs

Rs

Rin

VCC

R1

R2−+

(b)

RL

vo

∞=ECRE

−VEE

∞=2CCRC

+VCC

RB

∞=1CC

vs

Rs

Rin−+

圖 4-37 共射放大器:(a)單電源偏壓型式,(b)雙電源偏壓型式。

旁路電容 另外,射極偏壓電阻 ER 對放大器訊號表現的效應可藉由連接一橫越 ER的大電容 EC 來消除掉。也就是說,在考慮的訊號頻率範圍內, EC 可視

為短路;即對訊號而言, ER 並沒有效應。因此,當有一 dc 電流流過電

阻 ER 時,訊號電流 ei 將繞過電阻 ER 而流過 EC 。所以,我們將 EC 稱為射

極旁路電容 (emitter bypass capacitor),而此電路即稱為射極接地

(grounded-emitter)或共射放大器。

228 第 4 章 雙載子接面電晶體

4.9.2 小訊號分析

在此,我們還需要特別注意圖 4-37 中的放大器為一 ac 放大器。由於在

真實電路中, 1CC 、 2CC 和 CEC 的電容值有限,導致其增益在低頻時將降

低。分析放大器頻率響應(frequency response)的部份將在第 7 章專門討

論。現在,我們將假設這些電容值為無窮大,然後將電晶體以混合-π 模

型取代,並將 dc 電源以短路取代,即可得圖 4-38 的小訊號等效電路。 就小訊號分析之觀點,圖 4-37(a)和(b)兩電路其實是等效的,且圖

4-37(b)中之 BR 等於圖 4-37(a)之 )( 21 RR ,即 21 RRRB = 。由圖 4-38 之等

效電路分析如下:

sin

in

s RRR

vv

+=π (4-137)

其中放大器輸入電阻(input resistance) inR 為

πrRRRin 21= ....圖 4-37(a)

πrRB= .........圖 4-37(b) (4-138)

由基極至集極的增益為

)( LComo RRrg

vv −=

π

(4-139)

通常, >>or ( LC RR ),故 or 可以忽略。合併(4-137)和(4-139)二式可得全

級電壓增益(overall voltage gain)為

ins

inoLCm

s

ov RR

RrRRgvvA

+−== )( (4-140)

+vπrπ

B

gmvπ

C

E

vovs

BJT

ro

+

RC RLR1 R2Rin

Rs

−+

圖 4-38 圖 4-37 中共射放大器的等效電路。

4.9 共射放大器 229

4.9.3 未旁路射極電阻的分析

現將圖 4-37 之共射放大器加入未旁路射極電阻 1ER ,所得的電路顯示於

圖 4-39。根據先前的分析,直流偏壓電流為

β+++

−=

121B

EE

BEEEEQ RRR

VVI (4-141)

而其小訊號分析可引入二種模型混合 π− 模型以及 T-等效模型,茲

分別介紹如下: 混合−π模型 將圖 4-39 以一階混合−π 模型展開可得圖 4-40,分析如下:

ins

in

s

b

RRR

vv

+= (4-142)

其中 ibBin RRR = , inR 可想像為站在 sR 右側(圖 4-39)往右看進去的電阻。

而 ibR 之計算可由圖 4-40 寫下迴路方程式:

1)1( Ebbb Ririv βπ ++= (4-143)

RL

vb

∞=EC

−VEE

∞=2CCRC

+VCC

RB

∞=1CC

vs

Rs

RinieRE1

+

Rib

ib

RE2

vo

+

−+

圖 4-39 留下未旁路射極電阻之共射放大器。

230 第 4 章 雙載子接面電晶體

B C

E

vo

vs

BJT

RC RLRBRin

Rs

β ib

ib

RE1

Rib−

+

vb

bi)1( β+

−+

圖 4-40 以一階混合−π模型代入圖 4-39 所得之小訊號等效電路。

1)1( Eb

bib Rr

ivR βπ ++==Δ (4-144)

由圖 4-40,

1)1(

)()()(

E

LC

ib

LC

ibb

LCb

b

o

RrRR

RRR

RiRRi

vv

ββββ

π ++−=−=−= (4-145)

故電壓增益 VA 為

inS

in

E

LC

s

b

b

o

s

oV RR

RRr

RRvv

vv

vvA

+++−===Δ

1)1()(

ββ

π

(4-146)

T-等效模型 以圖 4-30 之 T-等效模型代入圖 4-39 可得圖 4-41,分析如下:

)1)(()( 111 β++=+=+= EebEeeEeeeb RriRriRiriv (4-147)

得到 ))(1( 1Eeib RrR ++= β (4-148)

這是一個非常重要的結果:由一電晶體的基極看進去的輸入電阻等於射

極中的電阻乘以 )1( β+ 的因子,稱為電阻反射定律(resistance reflection rule,簡稱 3R )。這個因子的產生乃因為基極電流比射極電流要小 )1( β+倍。此外,讀者是否懷疑 πr 怎麼不見了?其實是 πβ rre =+ )1( 。 現在計算在射極的訊號電流 ei 為

1Ee

be Rr

vi+

= (4-149)

基極看進去的輸入電阻等

於射極電路中的全部電阻

乘以(1 + β)的因子,稱為

電阻反射定律。

4.9 共射放大器 231

C

α ie

iere

E

RE1

BJT

(RC || RL)

vo

B

ib

Rib

RB

+vbRin

Rs

vs −+

圖 4-41 以 T-等效模型分析圖 4-39 之電路。

又集極訊號電流 ci 為

1Ee

bec Rr

vii+

== αα (4-150)

得輸出電壓 ov 為

)()( LCeLCco RRiRRiv α−=−= )(1

LCEe

b RRRr

v+

−= α (4-151)

故介於基極與集極間之電壓增益為

1

)(

Ee

LC

b

o

RrRR

vv

+−= α (4-152)

注意,上式與(4-145)式一模一樣。一般而言, 1≅α ,故上式代表集極

中的全部電阻與射極中的全部電阻之比,這是一個簡單且易記的規則。

至於全級電壓增益 VA 為

⎟⎠

⎞⎜⎝

⎛++

−===ins

in

Ee

LC

s

b

b

o

s

oV RR

RRrRR

vv

vv

vvA

1

)(α (4-153)

例題 7

圖 4-42 所示為一共射放大器,令 Ω== k5021 RR , =CCV 10V, Ω= k1CR , Ω= k1ER , Ω= k1sR , Ω= k2LR , 100=β ,和 =AV 100V。試計算其

電流增益 inout ii 。

解:

上式代表集極中的全部電

阻與射極中的全部電阻之

比,這是一個簡單且易記

的規則。

232 第 4 章 雙載子接面電晶體

RL vo

RE

RC

vs

Rs

Rin

VCC

R1

R2∞

iout

+∞iin

−+

圖 4-42 例題 7:電路圖。

直流分析:

mA45.3

101)5050(1

7.05050

5010

1)( 21

21

2

=+

−+

×=

++

−⎟⎠

⎞⎜⎝

⎛+=

βRRR

VRR

RVI

E

BECC

EQ

相關小訊號參數值為

Ω=== 25.7mA45.3

mV25

E

Te I

Vr

Ω=+= k73.0)1( err βπ

==T

CQm V

Ig 138mA/V

Ω== k29CQ

Ao I

Vr

交流分析如下: 首先計算輸入電阻 inR ,

Ω=== k709.073.0505021 πrRRRin

電壓增益為

VV3.37

709.01709.0)2129(138

)(

−=+

××−=

+−==Δ

ins

inLCom

s

oV RR

RRRrg

vv

A

4.9 共射放大器 233

電流增益為

AA9.312709.013.37 =+×=+−=

+

==ΔL

insV

ins

s

L

o

in

outI R

RRA

RRvRv

iiA

例題 8

圖 4-43(a)所示電路為一使用定電流源 I 偏壓之電晶體放大器,電晶體

具有 100=β ,試計算電壓增益 so vv 。其中 Ω= k100FR , Ω= k1ER ,

Ω= k100LR , =I 1mA。

解:

EQBQCQBQ IIIII ==+=+= mA1)1( β

於是,射極電阻為 Ω= 25er ,代入 T-等效模型後所得的小訊號等效

電路見圖 4-43(b)。由圖中分析得

LEe

s

F

osLe

F

oso R

Rrv

RvvRi

Rvvv ⎟

⎞⎜⎝

⎛+

−−=⎟⎠

⎞⎜⎝

⎛ −−= αα

解得電壓增益 VA

(a)

RL

vo

RE

vs

RF

I

−+

(b)

α ie

re

E

RE

BJT

vo

B

vs

RL

C

RF

eF

os iR

vvα−

F

os

Rvv −

eE

se rR

vi+

=−+

圖 4-43 例題 8:(a)電路圖,(b)小訊號等效電路及分析。

234 第 4 章 雙載子接面電晶體

VV8.47

1001001

1025.010099.0

100100

1

1

−=+

+×−

=+

⎟⎠

⎞⎜⎝

⎛+

−==Δ

F

L

LEeF

s

oV

RR

RRrR

vv

A

α

4.10 射極追隨器

本節中將介紹一特別的放大器電路:射極追隨器(emitter follower),或稱

為共集組態(common-collector configuration)。其特點為高輸入電阻與低

輸出電阻,因此很適合作為一緩衝器(buffer),用以將一高電阻電源連接

至一低電阻負載。 如圖 4-44 所示,輸入訊號由基極加入,而輸出訊號由射極取出;因為

集極接至 dc 電源,對訊號電源而言是接地的,故稱為集極接地

(grounded-collector)或共集組態。

4.10.1 混合−π 模型

輸入電阻 如圖 4-45 為以混合 π− 模型展開之小訊號等效電路,欲求電阻 ibR ,可

利用上一節中提到的電阻反射定律;也就是說,將射極中之全部電阻乘

−VEE

∞=CCRC

+VCC

RB

∞=1CC

vs

Rs

Rin Rib RE RL vo

+

∞=2CC

Rout

−+

圖 4-44 射極追隨器電路。

其特點為高輸入電阻與低

輸出電阻,因此很適合作

為一緩衝器,用以將一高

電阻電源連接至一低電阻

負載。

4.10 射極追隨器 235

以 β+1 即可得到 ibR :

))(1( LEib RRrR βπ ++= (4-154)

已知 ibR 後,可求得輸入電阻 inR

ibBin RRR = (4-155)

電壓增益

sin

in

s

b

RRR

vv

+= (4-156)

參考圖 4-44,

ib

LE

ibb

LEb

b

o

RRR

RiRRi

vv ))(1()()1( ββ +=+=

))(1(

))(1(

LE

LE

RRrRR

ββ

π +++= (4-157)

由以上二式相乘可得增益 VA 為

))(1(

))(1(

LE

LE

ins

in

s

oV RRr

RRRR

RvvA

ββ

π +++

+==Δ (4-158)

輸出電阻 欲計算一放大器的輸出電阻,首先必須令外來的獨立訊號源為零。由觀

察點得知並未包含負載 LR ,故移去負載 LR 。最後加入一測試電壓源 tv ,

送入一電流 i ,則輸出電阻 ivR tout = 。由圖 4-46 中的電路,

B C

E

vs

BJT

RBRin

Rs

β ib

ib

RE

Rib

RL

vo

+

vb

bi)1( β+

−+

圖 4-45 射極追隨器代入混合−π模型後的小訊號等效電路。

欲計算一放大器的輸出電

阻,首先必須令外來的獨

立訊號源為零。

236 第 4 章 雙載子接面電晶體

E

tb R

vii =++ )1( β (4-159)

將 bi 以 tv 代換,

)( sB

tb RRr

vi+

−=π

(4-160)

代入(4-159)式得

)(

)1(

sB

t

E

t

RRrv

Rvi

+++=

π

β (4-161)

移項整理得

)1()(

11

βπ

++

+=rRRRv

iBsEt

(4-162)

由此可得輸出電阻為

1

)1()(

11 −

++

+==

βπrRRRi

vRBsE

tout (4-163)

因此 outR 即為

⎥⎦⎤

⎢⎣⎡

++=)1(

)(β

πrRRRR BsEout (4-164)

B C

E

BJT

RB β ib

ib

RE

i

Rout

vt

Rs

)( BS

t

RRrv

E

t

Rv

bi)1( β+

−+

圖 4-46 計算射極追隨器輸出電阻的方法。

4.10 射極追隨器 237

由上面分析中我們發現一簡單的規則,可將最後的結果直接以直觀方

式寫出,而此規則乃基於射極電流為基極電流的( β+1 )倍這個事實。因

此在基極端的所有電阻可在其值除以( β+1 )後被反射至射極。現在把這

個觀念放在輸出電阻的計算中:介於射極與接地點間,我們看到一電阻

ER 與另一電阻並聯,而後者即為 πr 與( sB RR )串聯後再將其值除以

β+1 ,這樣就可以得到如(4-164)式的輸出電阻值。

4.10.2 T-等效模型

輸入電阻 將 T-等效模型代入圖 4-44 可得圖 4-47,分析如下:其中輸入電阻 inR 為

ibBin RRR = (4-165)

其中 )]()[1( LEeib RRrR ++= β (4-166)

上式與(4-154)完全相同。 電壓增益 參考圖 4-47,利用分壓定律(voltage-divider rule)得

eLE

LE

b

o

rRRRR

vv

+=

)()( (4-167)

注意此式與(4-157)完全相同。合併(4-165)和(4-167)二式即求得電壓增益

eLE

LE

sin

in

s

oV rRR

RRRR

RvvA

++==Δ )(

)( (4-168)

由上式很容易可以觀察出電壓增益小於 1。但因 inR 很大,而 er 非常小,

故增益往往接近於 1。

RBvs

Rs

Rin

ib

RLvo

+

RoutRib

B

α ie

E

ie

re

C

BJT

REvb

+

−+

圖 4-47 以 T-等效模型分析射極追隨器。

在基極端的所有電阻可在

其值除以(1+β)後被反射

至射極。

238 第 4 章 雙載子接面電晶體

輸出電阻 根據上段所述之電阻反射定律可得

⎥⎦⎤

⎢⎣⎡

++=

)1()(

βBs

eEout

RRrRR (4-169)

請注意此式與(4-164)式完全相同,這是因為 err =+ )1( βπ 。不同在於

(4-164)式是以混合 π− 模型處理並以 πr 表示,而(4-169)式則是以 T-等效

模型分析並以 er 表示,兩者之間必然等效。

例題 9

圖 4-48(a)電路為一吊環追隨器(bootstrap follower)其主要優點為具有特

別高的輸入電阻。假設 100=β 。 (a) 首先將吊環電容 BSC 移去,令其開路,試計算其輸入電阻 1inR 與電壓

增益 so vvA Δ=1 。 (b)再將吊環電容 BSC 接上,同時令 ∞=BSC ,試計算其輸入電阻 2inR 與

電壓增益 so vvA Δ=2 。

解:

取戴維寧等效電路可解出偏壓電流為

mA39.0

1100)5050(10

7.05 =

+++

−=EQI

(a)

vs Rin

VCC

50kΩ∞

∞=BSC100kΩ 10kΩ 10kΩ

vo

5kΩ

100kΩ

= +10V

−+

(b)

+10V

10kΩIE

+5V50kΩ 50kΩ

β+1EI

圖 4-48 例題 9:(a)電路圖,(b)直流分析。

4.10 射極追隨器 239

=×== 39.099.0ECQ II α 0.387mA

因此小訊號參數如下:

VmA5.15025.0387.0 ===

T

CQm V

Ig

Ω=== 1.6439.0

25

EQ

Te I

Vr

Ω=== k45.65.15

100

mgr β

π

(a) 首先我們將吊環電容 BSC 移去(令其開路),則等效電路如圖

4-49(a)所示且分析如下: )]1010)(1([()5050(1 βπ +++= rRin

Ω=×+= k6.83]510145.6[100

VV93.0

6.8356.83

)1010(064.0)1010(

)()(

1

=+

×+

=

+===Δ

ins

in

LEe

LE

s

b

b

o

s

o

RRR

RRrRR

vv

vv

vvA

(b) 將 BSC 接回並令 ∞=BSC ,見圖 4-49(b),令

Ω=Ω=′ k71.5k50ππ rr

(a)

B C

Evs

BJT

Rin

Rs

vo

+ gmvπvπ

50kΩ

vb

10kΩ 10kΩ

−+

+

50kΩ

(b)

B C

E

vs

BJT

Rin

Rs

vo

+ gmvπvπ50kΩ

vb

10kΩ10kΩ

is

50kΩ

−+

+

圖 4-49 例題 9 之小訊號等效電路:(a)CBS移去,(b)CBS = ∞。

240 第 4 章 雙載子接面電晶體

Ω==′ k55.4101050ER

則由圖 4-49(b)可得

Emo Rvgrvv ′⎟

⎞⎜⎝

⎛ +′

= ππ

π

因此,

3.7155.45.1571.51 =×⎟

⎠⎞

⎜⎝⎛ +=

πvvo

因為 ππππ vvvvvv ob 3.723.71 =+=+=

故可得輸入電阻 2inR 為

π

π

π

π r

rv

viv

Rs

bin ′=

==Δ 3.723.72

2 Ω=×= k8.41271.53.72

電壓增益 2A 為

VV97.08.4125

8.4123.723.71

2 =+

×===Δs

b

b

o

s

o

vv

vv

vvA

與(a)(b)中之數值比較結果發現置入吊環電容 BSC 之後可使輸入

電阻大幅增加,進而使電壓增益更為接近 1。

4.11 共基放大器

圖 4-50 為一共基(common base)或基極接地(grounded-base)BJT 放大器。

在適當的頻率範圍,基極經由 BC 接地,而訊號源經由 1CC 耦合入射極,

而集極訊號再經由 2CC 耦合至 LR 。

4.11.1 混合-π模型

以混合 π− 模型代入圖 4-50 之共基放大器,所得的小訊號等效電路,見

圖 4-51,分析如下: 輸入電阻 參考圖 4-51,由射極節點寫下一節點方程式可得

ππ

π vgrvi m−−= (4-170)

於是由射極端所看到的電阻值為

4.11 共基放大器 241

−VEE

RC

+VCC

RB

RE

vo

+

∞=2CC

Rin

CB

∞=1CC Rout

RL

vs

Rs−+

圖 4-50 共基放大器。

REvs

Rs

Rin

i

RLRoutRie

C

BJT

RC

ve

+

B

E

gmvπrπ

+vπ

vo

−+

圖 4-51 以混合−π模型分析共基放大器。

eme

ie rrgri

vi

vR =+

=⎟⎟⎠

⎞⎜⎜⎝

⎛+=−==

Δ

βπ

π

π

11

1

(4-171)

可得 eEieEin rRRRR == (4-172)

電壓增益 由圖 4-51 的輸出電路部份可寫下

)()( LCemLCmo RRvgRRvgv =−= π (4-173)

於是電壓增益為

242 第 4 章 雙載子電晶體電路

RERs Rout

C

BJT

RC

B

E

gmvπrπ

+vπ vt

it

C

t

Rv

−+

圖 4-52 共基放大器輸出電阻之計算。

ins

inLCm

s

e

e

o

s

oV RR

RRRgvv

vv

vvA

+=⋅==Δ )( (4-174)

輸出電阻 由上一節計算 outR 的方法可得圖 4-52,於E 點寫下節點方程式:

0=+++Es

m Rv

Rv

rvvg ππ

π

ππ (4-175)

解得 0=πv 。再由C 點:

C

tm

C

tt R

vvgRvi =+= π (4-176)

可得出

Ct

tout R

ivR ==Δ (4-177)

4.11.2 T-等效模型

假設 BC 、 1CC 和 2CC 為短路,且將 BJT 部份以 T-等效模型取代得一等效

電路,見圖 4-53。注意,為簡單起見,我們忽略 or 的效應。

輸入電阻 參考圖 4-53,輸入電阻 inR 為

eEin rRR = (4-178)

電壓增益 輸出電壓 ov 可表為

)( LCeo RRiv α−=e

eLC r

vRR )(α=

4.11 共基放大器 243

(a)

REvs

Rs

RinRLRout

CBJT

RCve

+

B

E

=gmvπ

vo

α ie

re

ie

− +

−+

(b)

REvs

Rs

RinRLRout

CBJT

RCve

+

B

E

gmvπ

vo

vπre

B

+−+

圖 4-53 (a)以 T-等效模型分析共基放大器,(b)另一種等效表示法。

sins

in

e

LC vRR

Rr

RR+

= )(α

sins

inLCm v

RRRRRg+

= )( (4-179)

由此可得電壓增益 VA

ins

inLCm

s

oV RR

RRRgvvA

+==Δ )( (4-180)

輸出電阻 輸出電阻 outR 為

Cout RR = (4-181)

由(4-179)式即可看出全級電壓增益,且發現增益為正號,這表示共基組

態不會提供訊號反轉(signal inversion)。由(4-179)式,

)()( LCmLCee

o RRgRRrv

v == α (4-182)

244 第 4 章 雙載子電晶體電路

故由(4-180)和(4-182)式可看出,雖然由射極至集極的增益很大,但是

inR 很小,一旦 sR 很大,則全級增益就變得很小。因此共基放大器通常

不會當作一電壓放大器使用,反而常以電流緩衝器(current buffer)或電流

追隨器(current follower)的型態出現。而 CB 組態最重要的特點就是不受

米勒電容乘積效應的影響,高頻響應非常好,這點將在頻率響應一章說

明。

4.1 現有一 pnp 電晶體電路,如附圖所示,經測量其基極與射極電壓分

別為 =BV +1V 和 V7.1+=EV 。試問:

(a) 此電晶體的工作模式。 (b) 此電晶體的電流增益α 和 β 值。

−VEE = −10V

RC = 5kΩRB = 100kΩ

VB = +1VVE = +1.7V

RE = 5kΩ

VCC = +10V

習題 4.1 附圖。

4.2 有一 npn 電晶體,其元件參數如下: m2μ=BW ,射極摻雜濃度316 cm10=EN ,基極摻雜濃度 314 cm104×=BN ,集極摻雜濃度314 cm10=CN 。計算:

(a) 集基接面內建電位 )(CBJbiV 。

(b) 若忽略射基接面空乏區寬度,試計算此電晶體到達擊穿崩潰前集

基接面所能承受之最大電壓 (max)RV 。

4.3 參考圖 4-19(a)電路,令 100=β 。 (a) 將圖 4-19(a)中的 Ω= k4CR 改為 Ω= k8CR ,計算節點電壓 CV 、 EV

以及分支電流。

§ 練習題

共基放大器通常不會當作

一電壓放大器使用,反而

常以電流緩衝器或電流追

隨器的型態出現。

練習題 245

(b) 將圖 4-19(a)中的 CR 維持在 Ωk4 ,再將 4V 電源提升至 6V,計算

節點電壓 CV 、 EV 以及分支電流。

4.4 參考附圖電路,假設 op amp 為理想,令 BJT 參數如下: ∞=β 和

=)(satCEV 0.2V,且假設 BJT 工作在順向主動區。電路組件值為 =1R

Ω100 , Ω= k12R , Ω= 10ER , =1V 1V 和 =2V 1.2V。 (a) 計算 BJT 集極輸出電流 OI 。 (b) 欲使電晶體工作在主動區所能容忍 OV 的極小值 (min)OV 。

IO

VO

RE

R1

R2

R1

R2

V1

V2

+

習題 4.4 附圖。

4.5 參考圖 4-24(a),令 BJT 的 β 值為無限大,且 =CCV 15V, 21 RR = Ω= k100 , Ω= k3.4CR , Ω= k8.6ER ,試計算基極、射極與集極電

壓。

4.6 考慮一特殊 BJT,假設其電流電壓關係式為 ),( CEBEC vvfi = =++= )1)(( 2

CEBEBE cvbvav Biβ ,試推導其小訊號參數 mg 、 πr 和 or ,

並繪出其小信號模型。

4.7 現有一 BJT,其各區摻雜如下: 319 cm10=EN , 317 cm10=BN ,315 cm10=CN 。令其基極穿越時間 Bτ 為 8ns 和 100=β 。電晶體的

其他參數如下: 310 cm1045.1 ×=in ,介電係數 121005.1 −×=∈S F/cm,射基接面 EBJ 面積 2m100μ=EBJA ,集基接面 CBJ 面積

24 m10 μ=CBJA 。現將此一電晶體放入一直流偏壓電路中,所獲得的

操作點數值如下: V65.0=BEQV , V8.3−=BCQV , mA17.0=CQI 。

試計算:

246 第 4 章 雙載子電晶體電路

(a) 射基接面與集基接面之內建電位。 (b) 射基接面與集基接面之空乏層寬度。 (c) 射基接面與集基接面之空乏電容。 (d) 射基接面之擴散電容 bC 。 (e) πC 和 μC 。

4.8 參考圖 4-37(a)所示之共射放大器,令 =CCV 9V, Ω= k10SR ,

Ω= k2LR , Ω= k271R , Ω= k152R , Ω= k2.2CR 和 Ω= k2.1ER 。

電晶體參數如下: 100=β 和 V100=AV 。試計算: (a) 射極偏壓電流 EI 。 (b) 電壓增益 so vv 。 (c) 令 LR 上的電流為 oi ( Loo Rvi = ) ,流經 sR 上的電流為 ii

[ )( inssi RRvi += ],計算電流增益 io ii 。

4.9 參考附圖,令 100=β 和 =AV 100V。

+15V

∞RE

∞RC

vo

RL = 5kΩ

−15V

vs

RS = 1kΩ

−+

習題 4.9 附圖。

(a) 選擇適當的 ER 使具有 1mA 之射極偏壓電流。 (b) 選擇適當的 CR 使具有+5V 之集極直流電壓。 (c) 計算小訊號參數 mg 、 πr 和 er 。 (d) 計算全級電壓增益 so vv 。

4.10 如附圖所示,為一 BJT 射極追隨器,令 50=β 和 ∞=AV 。試計算:

(a) 直流射極電流。 (b) 小訊號參數 er 、 mg 和 πr 。

練習題 247

(c) 輸入電阻 inR 。 (d) 輸出電阻 outR 。 (e) 全級電壓增益 so vv 。

vs

+10V

∞vo

1kΩ

10kΩRin 10kΩ

Rout

50kΩ∞

−+

習題 4.10 附圖。

4.11 附圖所示為以電流 I 偏壓之射極追隨器,假設電晶體具有 V100=AV和 100=β 。

+5V

∞vovs

1kΩ

2kΩ2mARin−+

習題 4.11 附圖。

(a) 試計算電壓增益 so vv 和輸入電阻 inR 。

(b) 倘若放大器的操作可允許基集接面順偏至多 0.3V,試問最大可

能的正輸出訊號為何?又最大可能的負輸出訊號為何?

4.12 參考附圖所示的共基放大器電路,令 BJT 100=β 。計算 (a) 集極偏壓電流 CQI 。

248 第 4 章 雙載子電晶體電路

(b) 輸入電阻 inR 。 (c) 電壓增益 so vv 。

Rinvs

RS=50Ω ∞

1kΩRE=

∞vo

VCC = +5V

−VEE = −5V

−+

RC = 500Ω

習題 4.12 附圖。

參考書目

1. W. Shockley, “The Theory of p-n Junctions in Semiconductors and p-n Junction Transistors,” Bell Systems Tech. J., vol. 28, pp. 435-489, July 1949.

2. J. J. Ebers and J. L. Moll. “Large-Signal Behavior of Junction Transistors,” Proc. IRE, vol. 42, pp. 1761-1772, December 1954.

3. J. M. Early, “Effects of Space-Charge Layer Widening in Junction Transistors,” Proc. IRE, vol. 40, pp. 1401-1406, November 1952.

4. S. M. Sze and M. K. Lee, “Semiconductor Devices, Physics and Technology,” 3rd ed. New York: Wiley, 2012.

5. S. M. Sze and K. K. Ng, “Physics of Semiconductor Devices,” 3rd ed., John Wiley

& Sons, New York, 2006.

6. D. A. Neamen, “Semiconductor Physics and Devices: Basic Principles,” 3rd ed. McGraw-Hill, 2002.

7. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

8.R. T. Howe and C. G. Sodini, “Microelectronics: An Integrated Approach,” Prentice-Hall International, Inc., 1996.

9. B. G. Streetman, “Solid State Electronic Devices,” 4th ed. Englewood Cliffs, NJ; Prentice-Hall, Inc., 1995.

10. R. S. Muller and T. I. Kamins. “Device Electronics for Integrated Circuits,” John

參考書目 249

Wiley & Sons, New York, 1977.

11. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

12. J. Millman and C. C. Halkias. “Integrated Electronics,” McGraw-Hill Book Company, New York, 1972.

13. J. D. Plummer, M. D. Deal and P. B. Griffin,

“Silicon VLSI Technology, Fundamentals, Practice and Modeling”, Prentice Hall, Inc., 2000.

14. Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices,” 2nd ed. Cambridge University Press, 2009.

15. 張文清,“SPICE 電子電路模擬”,四版,台

北鼎茂圖書,2009。

場效電晶體

金氧半場效電晶體(以下簡稱 MOSFET)在 80 年代其重

要性已明顯超過 BJT,成為數位與類比積體電路中之

主要半導體元件。現代個人電腦與各類電子通訊產品

的記憶體與邏輯電路中,均使用大量的 MOSFET。讀

者必須先了解 MOSFET 工作原理、三極區與飽和區的

特性和電流電壓關係式。再進入 MOSFET 直流電路、

交流小訊號模型、與共源、共汲、共閘等放大器的分

析。 5.1 加強式金氧半場效電晶體

5.2 MOSFET 的電流電壓特性

5.3 空乏式金氧半場效電晶體

5.4 接面場效電晶體

5.5 分立電路偏壓

5.6 小訊號模型

5.7 分立電路放大器

5.8 傳輸閘

5.1 加強式金氧半場效電晶體 251

5.1 加強式金氧半場效電晶體

屬-氧化層-半導體(metal-oxide-semiconductor),或稱 MOS 二極體

(MOS diode)在半導體元件物理中佔有非常重要的地位。主要因為

此元件對於半導體表面的研究極為有用;另一方面,由 MOS 二極體所

形成的電晶體 MOSFET,為超大型積體電路 (very-large-scale integration,簡稱 VLSI)中之最主要元件。金氧半場效電晶體(metal-oxide- semiconductor field-effect transistor,簡稱 MOSFET),在超大型積體電路

中(例如微處理機和半導體記憶體)為主要的元件;另外,它也是一重要

的功率元件。 世界上第一個 MOSFET 是在 1960 年被製造出來,使用的是熱氧化矽

基板。當時這個元件的通道長度 L 是 20 mμ ,而閘極氧化層厚度 OXt 超

過 1000Å。雖然目前的 MOSFET 在元件尺寸上已作了巨幅的縮小,但是

矽和熱成長二氧化矽(silicon dioxide)一直保持著最佳和最重要的組合。 半導體產業協會(Semiconductor Industry Association,簡稱 SIA)在 1994年於美國 Colorado 制定規劃了半導體技術的藍圖,這個結果被稱為半導

體國家技術藍圖(National Technology Roadmap for Semiconductor,簡稱

NTRS)。在此藍圖中,矽技術未來的遠景將是在 2012 年,其最小尺

寸可達 50nm (即 0.05 mμ ),每個晶片(chip)上 DRAM(動態隨機存取記憶

體)的位元數可達 256G,DRAM 的晶片尺寸將是 1580 2mm 。

5.1.1 元件結構

金氧半場效電晶體主要分為加強式 (enhancement-type) 和空乏式

(depletion-type)兩種。由於目前在市場上的商用產品幾乎均為加強式

MOSFET,故本節先由加強式 MOSFET 切入介紹,其元件結構參考圖

5-1。 MOSFET 為一四端元件。包括了 p 型基板(substrate),或稱 p 型基體

(body),基板上有兩個 +n -區分別是源極(source)和汲極(drain),而氧化層

2SiO 上的金屬接點稱為閘極(gate),自 1980 年代起,閘極金屬的材質均

以多晶矽(polysilicon)取代。在圖 5-1(a)的元件結構中,兩個 +n -區間之距

252 第 5 章 場效電晶體

離稱為通道長度(channel length) L,W 稱為通道寬度(channel width),閘

極金屬下方的氧化層厚度則以 OXt 表示,且這個尺寸決定了金屬與矽基

板的電容值,一般以 OXC 表示閘氧化層單位面積的電容 (其單位為2cmF ),

(a)

S G

D

B基體

L n+

n +

W

tOX

金屬或多晶矽

SiO2

p

(b)

L

p

n+ n+

B

GDS

閘極汲極源極

基極

圖 5-1 (a)加強式 MOSFET 的元件結構透視圖,(b)MOSFET 的橫截面

圖。

5.1 加強式金氧半場效電晶體 253

(a)

G

D

S

B

(b)

G

D

S−

+vGS −

+

vDS

iD

iG=0

圖 5-2 加強式 MOSFET 的電路符號:(a)四端表示法,(b)三端簡化表

示法。

OX

OXOX t

C ∈= (5-1)

其中 OX∈ 為二氧化矽的介電係數。

n-通道加強式 MOSFET 的電路符號見圖 5-2(a),亦可簡化為圖 5-2(b)的表示法。其中圖 5-2(a)為四端元件的表示法,基於正常操作下基體端

並無電流傳導,習慣可以三端元件來表示,見圖 5-2(b)。

5.1.2 工作原理

以下我們對加強式 MOSFET 的工作原理作一定性的討論,在討論的過

程將源極接點當作電壓的參考點。當閘極上無外加電壓時,由源極到汲

極看到的是兩個 pn 接面背對背相接,由源極到汲極的唯一電流就是反

向飽和電流。若我們在閘極上外加一足夠大的正電壓,此電壓必須超過

臨界電壓(threshold voltage) tV ,使得中心 MOS 結構被反轉,即造成在兩

個 +n 之間形成了表面反轉層 (surface inversion layer),也就是通道

(channel)。此時,源極和汲極間即被一表面傳導 n-通道所連接,因此大

電流可經由此通道流過。至於通道本身的電導可經由變化閘極電壓而達

到調變。而對背面接點(基板接點或稱基體接點)而言,我們應給予一參

考電壓,此電壓通常是整個電路系統中最負的電壓(對 p 型基板而言)。接著我們將討論 MOSFET 的電流電壓特性,請參考圖 5-3 與表 5-1。 壓控電阻 由表 5-1 中觀察A 、B 、C 三個工作點,即 DSv 固定於 0.1V,而後逐漸

變化 GSv ,由此三個工作點可看出在 DSv 很小時,具有壓控電阻(voltage-

在閘極上外加一足夠大的

正電壓,此電壓必須超過

臨界電壓 Vt。

254 第 5 章 場效電晶體

表 5-1 加強式 MOSFET 六個重要的工作點,令 Vt = 1V

工作點

電壓 C B A D E F

vGS(V) 1 3 5 5 5 5

vDS(V) 0.1 0.1 0.1 2 4 6

變 數 vGS改變 vDS改變

controlled resistance)的特性。為了解釋這件事實,首先考慮在閘極上加

電壓,使得半導體表面產生感應的通道。此時若我們加一小的汲極電

壓,則電子經傳導通道由源極遷移至汲極,造成電流 Di 。由於汲極電壓

很小,因此通道的行為好像一電阻,見圖 5-3(a),造成 Di 與汲源電壓 DSv

幾乎成正比。 在維持 DSv 很小的條件下,若我們降低 GSv ,則通道深度變淺,見圖

5-3(b),因此通道電阻增加,即具有壓控電阻的特性。例如在由工作點A

變遷至工作點B 時, DSv 固定,而 GSv 由 5V 降至 3V,造成通道變淺,電

阻增加,即 DSBr 大於 DSAr ,見圖 5-4。注意圖 5-4 並非 MOSFET 的全面特

性,只是 DSD vi − 特性曲線在原點附近的放大圖,其目的為解釋 MOSFET在 DSD vi − 特性曲線之原點附近具有壓控電阻的性質。若 tGS Vv = ,即工

作點C ,則通道處於導通與截止之臨界狀態,此時由於通道開始截止,

電流很小可以忽略,見圖 5-3(c)與圖 5-4 中之C 點。

(a)

D

iDiD

vGS=5V

vDS = 0.1V

GS

n+ n+

空乏區

通道

(b)

D

iDiD

vGS=3V

vDS = 0.1V

GS

n+ n+

空乏區

通道

MOSFET 在 iD − vDS特性

曲線之原點附近具有壓控

電阻的性質。

5.1 加強式金氧半場效電晶體 255

(c)

DvGS=1V

vDS=0.1V

GS

n+ n+

空乏區

0≅Di0≅Di

(d)

D

iDiD

vGS=5V

vDS=2V

GS

n+ n+

空乏區通道

(e)

D

iDiD

vDS = 4V

GS

n+ n+

空乏區通道

夾止點

vGS = 5V

(f)

D

iDiD

GS

n+ n+

空乏區通道

夾止點

vDS = 6V

vGS = 5V

圖 5-3 加強式 MOSFET 六個重要的工作點。

就 MOSFET DSD vi − 全面的特性曲線而言,主要分為兩個操作區間

三極區(triode region)與飽和區(saturation region),為了解釋這兩個操作區

間的重要特性,我們選擇了表 5-1 中的D 、E 、F 三個工作點,分別說

明如下: 三極區 觀察表 5-1 之工作點D ,並對照圖 5-3(d)。在 tGS Vv > 的條件下,矽表面

的反轉層已經形成。若我們增大汲源電壓 DSv ,將使得 +n 汲極至 p 基板

256 第 5 章 場效電晶體

iD

vDS

B

A

C

斜率

vGS = 5V

vGS =4V

vGS =1V=Vt

vGS =3V

vGS =2V

=1/rDSB

=1/rDSA

斜率

圖 5-4 vDS維持很小,變化 vGS值,可獲得不同的通道電阻值,顯現壓

控電阻的特性。

之空乏區寬度增加,於是汲極附近的通道較窄,而源極附近的通道較

寬;也就是當 DSv 加大之後,整個通道上通道深度不再均勻,於是特性

曲線開始偏離線性區,此時滿足 tGD Vv > (5-2)

或可寫成 tGSDS Vvv −< (5-3)

一 MOSFET 滿足以上二式者我們稱為三極區。 三極與飽和的邊界 觀察表 5-1 之工作點E ,並對照圖 5-3(e)。此時,汲極附近的反轉層寬

度(通道深度)變為零,這就是所謂的夾止點(pinch-off point)。故夾止條件

可寫成 tGD Vv = (5-4)

或可表為 tGSDS Vvv −= (5-5)

飽和區 表 5-1 之工作點F 之工作電壓為 V5=GSv 和 V6=DSv ,其元件截面的操

作狀態顯示於圖 5-3(f)。代表超過夾止點之後,此時若再進一步增加

DSv ,則所加上的額外電壓將落在汲極附近的空乏區(高電阻區),這對通

汲極附近的反轉層寬度

(通道深度)變為零,這就

是所謂的夾止點。

5.2 MOSFET 的電流電壓特性 257

飽和區三極區

vGD >Vt

E F

D

vGD = VtiD

15

10

5

A

B

C

0.10 1 2 3 4 5 6

vGS = 1V = Vt

vDS(V)

vGS = 2V

vGS = 3V

vGS = 4V

vGS = 5V

vGD <Vt

圖 5-5 綜合圖 5-3 之分析所得的電流電壓特性曲線。

道內的載體傳導並沒有貢獻;於是,電流將持續流過通道;流經通道的

電子將被掃過在汲極端之夾止區到達汲極端點。在理想狀態下(即不考慮

通道長度調變效應),電流無法再增加,即維持一常數。此時應滿足 tGD Vv < (5-6)

或 tGSDS Vvv −> (5-7)

則此定電流區一般稱為飽和區或夾止區(pinch-off region)。綜合以上所

述,可得加強式 MOSFET 之電流電壓特性曲線,見圖 5-5。

5.2 MOSFET 的電流電壓特性

5.2.1 三極區的理論推導

首先參考圖 5-6,若 GSv 大於 tV ,則出現傳導通道,且 DSv 將引發一遷移

電流 Di 由汲極流向源極。電壓 DSv 使得由汲極至基體的逆偏超過由源極

選擇性閱讀

258 第 5 章 場效電晶體

至基體的逆偏,因此在汲極附近將有一較寬的空乏層。但為了簡化起

見,在此我們假設沿著通道的壓降很小,以致於沿著整個通道上在不同

位置的臨界電壓和空乏層寬度可近似視為相同。 如圖 5-6 所示, )( yV 代表沿通道上任一點 y 相對於源極的電壓,簡稱

為通道電位;而在 y 這一點處,閘極至通道的電壓為 )( yVvGS − 。我們

假設此電壓超過臨界電壓 tV ,因此在 y 處每單位面積感應的反轉層電荷

)( yQn 為

])([)( tGSOXn VyVvCyQ −−= (5-8)

而在通道中,長度 dy 的電阻 dR 為

)( yQW

dydRnnμ

= (5-9)

其中 W 為垂直於圖 5-6 平面寬度和 nμ 為通道中電子的平均遷移率。在

dy 上所形成壓降 dV 為

dyyQW

idRidVnn

DD )(μ

== (5-10)

將(5-8)式代入(5-10)式得

DGS

通道

vGS

vDS

iD

B

V(y)

y=0 y=L

y

n+

y y+dy

p型基板

n+

空乏區

圖 5-6 三極區的理論推導,令 vGS > Vt且 vGD > Vt。

5.2 MOSFET 的電流電壓特性 259

dVVyVvCWdyi tGSOXnD ])([ −−= μ (5-11)

上式中對左式沿著通道由 0=y 積分至 Ly = 以及對右式由 0=V 積分至

DSvV = :

dVyVVvCWydi tGS

v

OXn

L

DDS )]([

00−−= ∫∫ μ (5-12)

])(2[21 2

DSDStGSOXnD vvVvL

WCi −−= μ (5-13)

注意,(5-13)式僅代表三極區之特性,其適用範圍為 tGS Vv > 且 tGD Vv > 。

5.2.2 理想情況

先前我們已經討論過 DSD vi − 特性,見圖 5-7(b)。由此圖中可看出有二操

作區間:三極區和飽和區(又稱為夾止區)。以下就各操作區間之特性方

程式作一整理。 三極區 此元件在三極區的特性由(5-13)式改寫如下:

])(2[21 2

DSDStGSnD vvVvki −−= (5-14)

其中 nk 被定義

⎟⎠⎞

⎜⎝⎛=Δ

LWCk OXnn μ (5-15)

稱之為元件轉導參數(device transconductance parameter)。對一定的 IC 製

程而言, OXnCμ 這個量為一常數(對標準的 NMOS 製程,0.1 mμ 的氧化

層厚度,此值約 2A/V20μ ),因此由元件的外觀比值(aspect ratio)W/L 來

決定其轉導參數 nk 。

另一方面,也有另一種常見的表示法,即定義

OXnn Ck μ=′ (5-16)

因此(5-14)式可表示為

[ ]2)(221

DSDStGSnD vvVvL

Wki −−′= (5-17)

請注意欲使(5-14)式成立,則必須同時滿足下面兩個條件:

tGS Vv > (5-18)

(5-13)式僅代表三極區之

特性,其適用範圍為 vGS >Vt且 vGD > Vt。

260 第 5 章 場效電晶體

(a)

iD (μA)

160

90

40

10

−1 0 1 2 3

Vt

4 5 vGS (V)

21

D kni = ( 2)tGS Vv −

(b)

飽和區三極區

vDS > vGS − Vt

vDS<vGS −Vt

iD

160

10

0 1 2 3 4 5 6

vGS = 1V = Vt

vDS(V)

(μA)

40

90

2

21

DSvki nD =

vDS = vGS − Vt

vGS = 2V

vGS = 3V

vGS = 4V

vGS = 5V

圖 5-7 一 n-通道加強式 MOSFET(kn = 20μA/V2和 Vt = 1V)之理想電流電壓特性:(a) 飽和區中之

iD − vGS特性曲線,(b) iD − vDS特性曲線。

tGSDS Vvv −< (5-19)

三極與飽和的邊界 請注意(5-14)式,在 DSD vi − 平面上原為一過原點且曲率為負的拋物線。

現在嘗試找出此拋物線之頂點,即令

0=∂∂

DS

D

vi (5-20)

可得

tGSDS Vvv −= (5-21)

此即原先在(5-5)式所提之三極區與飽和區之邊界,其物理意義為通

道在汲極端正好夾止。若將(5-21)式代入(5-14)式消去( tGS Vv − )得

2

21

DSnD vki = (5-22)

此即圖 5-7(b)中虛線的拋物線方程式。

三極與飽和區的邊界之物

理意義為通道在汲極端正

好夾止。

5.2 MOSFET 的電流電壓特性 261

飽和區 在接受飽和區為一理想的定電流源之前提下,飽和電流即拋物線之頂點

電流,於是可將 tGSDS Vvv −= 代回三極區之拋物線方程式(5-14)式並消去

DSv 可得

2)(21

tGSnD Vvki −= (5-23)

或根據(5-16)式,

2)(21

tGSnD VvL

Wki −′= (5-24)

注意,上式成立的前提為 tGS Vv > (5-25)

以及 tGSDS Vvv −> (5-26)

因此,在飽和區中加強式 MOSFET 之行為好像一電壓控制電流源,其

平方定律( square-law)之控制特性見圖 5-7(a)。

5.2.3 實際的考量

通道長度調變效應 圖 5-7(b)的特性指出給定一 GSv 後,在飽和區中電流 Di 為一常數,與 DSv 無

關。換言之,元件就好像一電流源且其輸出電阻為無窮大。但事實上,

實際的加強式 MOSFET 的輸出電阻為有限值,見圖 5-8。

0 vDS

vGS = 2V

vGS = 3V

vGS = 4V

vGS = 5ViD

vGS = Vt = 1V

or/1=斜率

λ/1−=− AV

圖 5-8 飽和區中 vDS對 iD的效應,此即通道長度調變效應。

262 第 5 章 場效電晶體

如圖 5-8 所示,在飽和區中 MOS 電晶體的汲極電流並非與 DSv 無關。

這是因為當 DSv 增加,使得在汲極附近的空乏層變寬,導致有效通道長

度縮短,於是電流 Di 上升。這種效應稱之為通道長度調變效應(channel-

length modulation effect),通常可以一經驗公式描述如下:

)1()(21 2

DStGSnD vVvki λ+−= (5-27)

其中通道長度調變參數(channel-length modulation parameter) λ 一般約在

0.1 至 1V01.0 − ,它代表在飽和區時 DSv 對 Di 的影響。 在飽和區時, DSD vi − 特性曲線為直線,對這些直線作外插可交 DSv 軸

於 ADS Vv −= 這一點,其中

λ1=AV (5-28)

因此(5-27)式亦可表為

⎟⎠

⎞⎜⎝

⎛ +−=A

DStGSnD V

vVvki 1)(21 2 (5-29)

基體效應 首先考慮一測量臨界電壓之電路,見圖 5-9(a)。本電路將 MOSFET 偏壓

在飽和區,因此

)(2 tGS

nD Vvki −= (5-30)

故在 GSD vi − 平面上應為一直線,且此直線在 GSv 軸上之截距即為臨界

(a)

G D

S

B

iD

+vGS

+

vSB

(b)

vGS (V)

Di (A1/2)

V1 V2 V3vSB = 0V

Vt 0 Vt 1 Vt 2 Vt 3

0123 >>> VVV

圖 5-9 基體效應:(a)測試電路,(b)基體效應對 GSD vi − 特性的影響。

當 vDS 增加,將使汲極附

近的空乏層變寬,導致有

效通道長度縮短。

5.2 MOSFET 的電流電壓特性 263

電壓 tV 。但是,實驗結果卻出現當源極至基板之逆偏電壓 SBv 增加時,

臨界電壓 tV 亦增加之現象,見圖 5-9(b),此一現象稱為基體效應(body

effect),解釋如下。 在積體電路中,許多 MOS 電晶體的基板是接在一起的。為了使基板

至通道接面維持逆偏狀態,在 NMOS 電路中我們通常將基板接至最負的

電源供應器(在 PMOS 電路中接至最正)。因此,介於源極與基體間的逆

偏電壓 SBv 將對元件操作產生影響,其結果為元件的臨界電壓 tV 將隨 SBv

之變化而改變。由半導體理論可證明

)22(0 FFSBtt vVV φφγ −++= (5-31)

其中 0tV 為無基體偏壓( 0=SBv )時的臨界電壓, γ 稱為基體效應係數

(body-effect coefficient),而 Fφ 稱為佛米位能(Fermi potential)。根據半導

體理論推導可得

⎟⎠

⎞⎜⎝

⎛=i

AF n

Nq

kT lnφ (5-32)

以及

OXOX

AS

OX

AS

tNq

CNq

∈∈=∈= 22γ (5-33)

5.2.4 p-通道加強式 MOSFET

參考圖 5-1 NMOS 的元件結構,若將此結構的 n、p 互換,即在 n-型基

板上重摻雜兩個 p+的源極與汲極區,則形成一 p-通道加強式 MOSFET,其電路符號見圖 5-10(a)和(b)現將其特性方程式整理如下:

(a)

G

D

S

B

(b)

G

D

+vSG

+vSD

iG = 0

SiDP

圖 5-10 p-通道加強式 MOSFET 的電路符號。

臨界電壓 Vt 將隨 vSB 之變

化而改變。

選擇性閱讀

264 第 5 章 場效電晶體

三極區 在三極區內

[ ]2)||(221

SDSDtpSGpDP vvVvki −−= (5-34)

其中臨界電壓 0<tpV 和

⎟⎠⎞

⎜⎝⎛=

LWCk OXpp μ (5-35)

pμ 代表電洞遷移率。而上式之適用範圍為

|| tpSGSD Vvv −< (5-36)

三極和飽和的邊界 在邊界處之特性為 || tpSGSD Vvv −= (5-37)

圖 5-11(b)中邊界(虛線指示)之方程式為

2

21

SDpDP vki = (5-38)

(a)

iDP(μA)

160

90

40

10

−1 0 1 2 3 4 5 vSG (V)

tpV

2)(21

tpSGpDP Vvki −=

(b)

飽和區三極區

iDP

160

10

0 1 2 3 4 vSD(V)

(μA)

40

90

tpSG Vv == V1

tpSGSD Vvv −>

tpSGSD Vvv −<

2

21

SDpDP vki =

tpSGSD Vvv −=

vSG = 2V

vSG = 3V

vSG = 4V

vSG = 5V

圖 5-11 一 p-通道加強式 MOSFET(kp = 20μA/V2和 Vtp = −1V):(a)飽和區 iDP − vSG特性曲線,(b)

iDP − vSD特性。

5.2 MOSFET 的電流電壓特性 265

飽和區 在飽和區內,

2)||(21

tpSGpDP Vvki −= (5-39)

上式之適用範圍為 || tpSGSD Vvv −> (5-40)

在飽和區中 SGDP vi − 之特性曲線繪於圖 5-11(a)。

例題 1

圖 5-12 所示為一 MOSFET 分壓器電路, A40μ=I 。假設三個 MOSFET的特性匹配且 V1=tV , 2V/A20μμ =OXnC 。試設計出所有 MOSFET 的

W/L 值以滿足 V51 =V , V32 =V 。

解:

由(5-6)式得知三個 MOSFET 均工作於飽和區,於是代入(5-24)式解

2

1

)13(202140 −×⎟

⎠⎞

⎜⎝⎛××=

LW 1

1

=⎟⎠⎞

⎜⎝⎛⇒

LW

2

2

)12(202140 −×⎟

⎠⎞

⎜⎝⎛××=

LW 4

2

=⎟⎠⎞

⎜⎝⎛⇒

LW

2

3

)13(202140 −×⎟

⎠⎞

⎜⎝⎛××=

LW 1

3

=⎟⎠⎞

⎜⎝⎛⇒

LW

Q2

Q1

Q3

+8V

I = 40μA

V1 = 5V

V2 = 3V

圖 5-12 例題 1:電路圖。

266 第 5 章 場效電晶體

5.3 空乏式金氧半場效電晶體

5.3.1 元件結構

如圖 5-13(a)所示,為一 n-通道空乏式金氧半場效電晶體(depletion-type MOSFET)。它與 n-通道加強式元件最主要的不同在於空乏式元件在介於

源極與汲極間的矽表面存在一 n-通道,且此通道是在元件製作時即已完

成,並非由電感應產生,故為一正常導通元件(normally-on device),即

在閘極電壓為零時即有通道產生。反觀加強式元件,在閘源電壓為零時

根本無通道產生,故為正常截止元件(normally-off device)。 在製程上,空乏式元件要比加強式元件多一道製作步驟利用離子

佈植法(ion implantation)植入 n-通道。換言之,若我們在矽表面通道區植

入大量的 n 型雜質,則即使在 0=GSv 時亦可得到一強烈的傳導通道;通

常其臨界電壓可控制在−1 至−4V 的範圍內。由於臨界電壓是負值,故我

們以 tDV 表示。另外,n-通道空乏式元件的電路符號見圖 5-13(b)。

5.3.2 電流電壓特性

現將 n-通道空乏式 MOSFET 的電流電壓特性整理如下,讀者可注意到

除了臨界電壓 tV 經由製程調整為負值的 tDV 之外,其餘均與加強式

(a)

p

n+ n+

B

GDS

閘極汲極源極

基體

植入通道

(b)

G

D

S

圖 5-13 n-通道空乏式 MOSFET:(a)元件結構,(b)電路符號。

空乏式 MOSFET 在介於

源極與汲極間的矽表面存

在一 n-通道,且此通道在

元件製作時即已完成。

即使在vGS = 0時亦可得到

一強烈的傳導通道。

選擇性閱讀

5.3 空乏式金氧半場效電晶體 267

MOSFET 相同。 由(5-14)式可得空乏式元件在三極區的特性:

])(2[21 2

DSDStDGSnD vvVvki −−= (5-41)

其中 nk 仍被定義為

⎟⎠⎞

⎜⎝⎛=

LWCk OXnn μ (5-42)

欲使(5-41)式成立,則必須滿足

tDGSDS Vvv −< (5-43)

而三極區和飽和區的邊界必定滿足 tDGSDS Vvv −= (5-44)

上式的物理意義仍為 n-通道在汲極端點正好夾止。上式代入(5-41)式消

去 )( tDGS Vv − 得

DSvki nD2

21= (5-45)

此即圖 5-14(b)中邊界虛線的拋物線方程式。 將(5-44)式代入(5-41)式消去 DSv 可得

2)(21

tDGSnD Vvki −= (5-46)

因此,在飽和區中空乏式 MOSFET 的行為就好像一電壓控制電流源,

其平方定律的控制特性見圖 5-14(a)。此外,習慣上將 V0=GSv 時所對應

之飽和電流以 DSSI 表示。

2||21

tDnDSS VkI = (5-47)

見圖 5-14 中之標示。 前面已經討論過 DSD vi − 特性,如圖 5-14(b)所示。由此圖中可看出有

二操作區間:三極區和飽和區。此特性與 n-通道 JFET(下一節會提到)十分類似,但有一點不同的是在 MOSFET 中可允許正的閘源電壓。

注意,正的 GSv 可以吸引更多電子進入通道,使通道“加強”,通道電

子濃度上升。因此,一個空乏式 MOSFET 亦可在加強模式下操作。這

也是空乏式 MOSFET 與 JFET (5.4 節介紹)主要不同之處。可先參考圖

5-19。

空乏式 MOSFET 亦可在

加強模式下操作。

268 第 5 章 場效電晶體

(a)

iD (μA)

160

90

40

10

−1 0 1

VtD

vGS (V)

202.5

4 −2−3−5

加強模式空乏模式

IDSS

2)(21

tDGSnD Vvki −=

(b)

飽和區三極區

vDS > vGS − VtD

vDS = vGS − VtDiD

160

10

0 1 2 3 4vGS = − 4V = VtD

vDS(V)

vGS = 0V

(μA)

40

90

202.5vGS = + 0.5V

vGS = −3V

vGS = −2V

vGS = −1V

空乏模式

加強模式

IDSS

vDS < vGS − VtD

圖 5-14 一 n-通道空乏式 MOSFET(VtD = −4V 和 kn = 20μA/V2):(a)在飽和區的 iD − vGS特性曲線,

(b) iD − vDS特性曲線。

5.4 接面場效電晶體

5.4.1 元件結構

接面場效電晶體(Junction Field-Effect Transistor,簡稱 JFET)有二種型

式:n-通道(n-channel)元件和 p-通道元件。以下我們將詳細介紹 n-通道

JFET 的操作。至於 p-通道 JFET 的操作則與 n-通道相似,只是所有電流

和電壓的極性反過來罷了。 圖 5-15(a)為一 n-通道 JFET 的基本結構。它是由一塊 n 型矽半導體,

並在其上下兩側擴散 p 型區所組成的。此 n 型區稱為通道(channel)且其

長度為 L,而 p 型區與金屬構成歐姆接點並且連接在一起稱為閘極

(gate),一般 p 型區為高摻雜,以 p+表示。另外,在通道的兩端製作歐

姆接點,此二端點分別稱為源極(source)和汲極(drain)。

選擇性閱讀

5.4 接面場效電晶體 269

(a)

G

D

p+

S

閘極

汲極源極

n−通道

p+

金屬

L

(b)

G

D

S

圖 5-15 n-通道 JFET:(a)基本元件結構,(b)電路符號。

圖 5-15(b)顯示 n-通道 JFET 的電路符號。注意在閘極線上有一箭頭,

其方向即指示元件的型式;以 n-通道元件為例,其箭頭指向 n-通道。雖

然 JFET 通常是對稱的(也就是,汲極和源極可互換),但是為了方便分析

和設計 JFET 電路,常需要指示出那一端是源極。基於此原因,我們亦

可將閘極線畫在靠近源極這一側。 由圖 5-15(a)可看出 JFET 有一個 pn 接面,即閘極至通道接面(gate-to- channel junction)。在絕大部份的應用上,此接面必須為逆向偏壓,因此

僅有一極小的漏電流(約 10−9A)流入閘極端。這也代表由閘極看進去的輸

入阻抗相當高。

5.4.2 工作原理

以下我們以表 5-2 分析 JFET 之工作原理。此表數值的選取與表 5-1 類

似,只是正的臨界電壓 tV 對應負的夾止電壓(pinch-off voltage) PV 。圖 5-16

為 JFET 對照表 5-2 所得六個工作點的元件操作截面圖,說明如下: 壓控電阻與夾止電壓 現在在汲極與源極間加上一小的正電壓 DSv ,見圖 5-16(a)。若 0=GSv ,

則存在一窄的空乏區且電流 Di 將在通道中流動,而 Di 的大小由 DSv 和通

道電阻(channel resistance) DSAr 決定。注意因 DSv 很小,以致於在通道兩端

之逆偏電壓幾乎相同,意即有效通道深度(effective channel depth)將是均

勻的。此時,操作點位於圖 5-17 的A 點且其斜率為 DSAr1 。

270 第 5 章 場效電晶體

表 5-2 JFET 六個重要的工作點,令 VP = −4V

工作點

電壓 C B A D E F

vGS(V) −4 −2 0 0 0 0 vDS(V) 0.1 0.1 0.1 2 4 6

變 數 vGS改變 vDS改變

當 GSv 變為負時,空乏區變寬導致通道變窄,見圖 5-16(b)。而通道變

窄將使電阻 DSBr 增加,其中 DSADSB rr > ,即在 DSD vi − 特性上其直線的斜率

變小,見圖 5-17 的工作點B 。由圖 5-17JFET 特性可看出,在 DSv 小的

時候,元件的行為好像一線性電阻 DSr ,其值可被一電壓 GSv 所控制,利

用這種特性可作一壓控電阻。

若我們持續在負的方向增加 GSv ,則到達某一 GSv 值時整個通道區域將

被空乏區所佔滿。換句話說,通道載體將轉變為完全空乏,因此電流為

零。這種狀況稱為夾止(pinch-off),見圖 5-16(c)且對照圖 5-17 的工作點

C。在夾止發生時的電壓 GSv 定義為夾止電壓(pinch-off voltage),以 PV 表

示,其中

小, ==

Δ= DSD viGSP vV 0 (5-48)

因此對 n-通道元件而言, PV 為負值。而在圖 5-17 的特性上,電流等於

零的一水平線即代表夾止。

(a)

G

D

S

G

DS ii =

p+

p+

iG=0vGS= 0V

n DSAr=電阻

vDS = 0.1V

iD

空乏區

(b)

空乏區

G

D

S

G

DS ii =

p+

p+

iG = 0vGS = −2V

n DSBr=電阻

vDS = 0.1V

iD

空乏區

當 vGS = VP 時,整個通道

區域將被空乏區所佔滿,

其中 VP稱為夾止電壓。

5.4 接面場效電晶體 271

(c)

G

D

S

G

DS ii =

p+

p+

iG = 0vGS = −4V = VP

vDS = 0.1V

iD = 0空乏區

(d)

空乏區

G

D

S

G

DS ii =

p+

p+

iG = 0vGS = 0V

n

vDS = 2V

iD

空乏區

(e)

空乏區

G

D

S

G

DS ii =

p+

p+

iG = 0vGS = 0V

n

vDS = 4V

iD

空乏區

(f)

G

D

S

G

DS ii =

p+

p+

iG = 0vGS = 0V

n

vDS = 6V

iD空乏區

圖 5-16 JFET 對照表 5-2 所得六個工作點的元件操作截面圖。

三極區 現在考慮 DSv 增加的操作情況。首先將 GSv 固定在 0V。此時源極附近閘

至通道接面(gate-to-channel junction,簡稱 GCJ)的電壓為零,但是向汲

極移動時,GCJ 的逆偏電壓將愈來愈大。由 pn 接面的理論得知,空乏

區的寬度與逆偏的大小有關,因此空乏區將呈現出傾斜的形狀,見圖

5-16(d),結果造成汲極端的通道是最窄的。

向汲極移動時,GCJ 的逆

偏電壓將愈來愈大。

272 第 5 章 場效電晶體

iD

vDS(V)

B

A

C

斜率

vGS = 0V

vGS = −1V

vGS = − 4V = VP

vGS = −2V

vGS = −3V

0.1 0.2

斜率 =1/rDSB

=1/rDSA

圖 5-17 JFET 在 vDS很小時可當作一壓控電阻使用。

因此當 DSv 增加時,通道電阻將增加,造成 DSD vi − 特性開始彎曲且變

為非線性。只要通道截面為圖 5-16(d)所示且汲極端尚未夾止,則 JFET工作於三極區,此時對應 PDG Vv −< (5-49)

或 PGSDS Vvv −< (5-50)

三極與飽和的邊界 若我們繼續增加 DSv ,則到達某一 DSv 值時在汲極端通道將出現夾止,見

圖 5-16(e)。而這件事情發生必須滿足汲極端之逆偏電壓值正好等於夾止

電壓;也就是, PDG Vv −= (5-51)

或可表示為 PGSDS Vvv −= (5-52)

飽和區 參考圖 5-16(f),此時若再進一步增加 DSv ,則所加上的額外電壓將落在

汲極附近的空乏區(高電阻區),這對通道內的載體傳導並沒有貢獻;於

是,電流 Di 在到達 PDG Vv −= (因為固定 0=GSv ,故此條件相當於 PDS Vv −= )

之後即維持一常數,此常數稱為汲源飽和電流(drain-to-source saturation current),以 DSSI 表示,

當汲極端之逆偏電壓值正

好等於夾止電壓,則汲極

端將出現夾止。

5.4 接面場效電晶體 273

飽和區三極區

vDG>−VP

E F

D

vDG= −VPiD

15

10

5

A

B

C

0.10 1 2 3 4 5 6

vGS = −4V = VP

vDS(V)

vGS= −1V

vGS=0V

vGS= −2V

vGS= −3V

vDG<−VP

圖 5-18 n-通道 JFET 綜合圖 5-16 電流電壓特性曲線。

PDGGS VvvDDSS iI −=== ,0 (5-53)

此時滿足

PDG Vv −> (5-54)

PGSDS Vvv −> (5-55)

這裡要特別注意所謂夾止共有兩種:第一種為通道全部被夾止,如圖

5-16(c)所示。第二種為通道僅在汲極端被夾止,如圖 5-16(f)所示。以上

兩者並不相同。前者是通道中的荷電載體完全被空乏,因此電流為零。

但後者是電流將持續流過通道;流經通道的電子將被掃過通道在汲極端

之夾止區而到達汲極端點。其結果是橫越有效通道的電壓和經過通道的

電流維持一常數,至於落在通道汲極附近空乏區上的電壓則是外加電壓

DSv 和 || PV 的差。綜合以上的討論,可得出 JFET 的電流電壓特性曲線,

見圖 5-18。

所謂夾止共有兩種:第一

種為通道全部被夾止,如

圖 5-16(c)所示。第二種為

通道僅在汲極端被夾止,

如圖 5-16(f)所示。

274 第 5 章 場效電晶體

5.4.3 電流電壓特性

如圖 5-19(b)所示,JFET 有二分開的操作區間:三極區(triode region)和飽和區(saturation region)。兩者被一拋物線邊界所分開,如圖 5-19(b)中之虛線所示。 三極區 在三極區內之 DSD vi − 特性可以一拋物線來近似,寫成

])(2[ 22 DSDSPGS

P

DSSD vvVv

VIi −−= (5-56)

上式的適用範圍為 PDG Vv −< (5-57)

或 PGSDS Vvv −< (5-58)

其中 PV 和 DSSI 為 JFET 的二元件參數,其值通常在元件的資料簿中會指定。

(a)

iD (mA)

16

9

4

1

−1 0 1

VP

vGS (V)4 −2−3−5

IDSS

2

1 ⎟⎠

⎞⎜⎝

⎛ −=P

GSDSSD V

vIi

(b)

飽和區三極區

vDS>vGS−VP

vDS<vGS−VP

vDS = vGS − VPiD

16

1

0 1 2 3 4

vGS = − 4V = VP

vDS(V)

(mA)

4

9

IDSS

−VP

22 DS

P

DSSD v

VIi =

vGS = 0V

vGS = −3V

vGS = −2V

vGS = −1V

圖 5-19 一 n-通道 JFET(IDSS = 16mA,VP = −4V);(a)在飽和區 iD − vGS特性曲線,(b) iD − vDS特

性曲線。

5.4 接面場效電晶體 275

先前曾經提過 JFET 在三極區內之行為像一電阻 DSr ,且其值可被閘源

電壓 GSv 所控制。現在我們再次考慮 DSv 很小時,則(5-56)式可近似為

DSP

PGSDSSD v

VVvIi 2

)(2 −≅ (5-59)

此線性關係代表接近原點的 DSD vi − 特性。因此,線性電阻 DSr 為

小=

=DSvD

DSDS i

vr (5-60)

得通道電阻 DSr 為

)(2

2

PGSDSS

PDS VvI

Vr−

≅ (5-61)

三極和飽和的邊界

在汲極端之逆偏電壓等於夾止電壓時,即發生夾止;也就是 PDG Vv −= (5-62)

此方程式代表三極區和飽和區的邊界,它也可以被寫成

PGSDS Vvv −= (5-63)

代入(5-56)式得

22 DS

P

DSSD v

VIi = (5-64)

此即圖 5-19(b)中虛線的拋物線方程式。 飽和區 在飽和區內, DSD vi − 特性是水平的直線,其高度由 GSv 值所決定。所以

在飽和區內,JFET 的行為好像一定電流源,且其值被 GSv 所控制。更進

一步說,此定電流源具有一理想的無窮大電阻且控制源之輸入阻抗亦為

無窮大。此電流源之控制關係式可以被一平方定律(square law)所近似,

2

1 ⎟⎠

⎞⎜⎝

⎛ −=P

GSDSSD V

vIi (5-65)

(5-65)式為飽和區的特性,我們將它畫在圖 5-19(a)。而(5-65)式之適用範

圍為

PDG Vv −> (5-66)

或 PGSDS Vvv −> (5-67)

276 第 5 章 場效電晶體

通道長度調變效應 實際的 JFET 在飽和時的 DSD vi − 特性曲線之斜率並不為零,見圖 5-8。主要是因為在飽和區內,若 DSv 繼續增加,將使得原本在汲極端的夾止

點向源極方向移動,造成有效通道長度(effective channel length)縮短,見

圖 5-16(f),於是通道內的電場增加,造成電流繼續增加。 如圖 5-8 所示,飽和區的特性為一直線,若我們將這些直線外插,最

後交 DSv 軸於一點,並將此點所對應的 DSv 值以 AV− 表示。其中 AV 為一正

值,對於一以標準 IC 製程所製造出來的 JFET 而言, AV 約 100V。在此,

我們將飽和特性改寫為

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ −=A

DS

P

GSDSSD V

vVvIi 11

2

(5-68)

其中我們放入一因子用以描述 Di 對 DSv 之線性關係。

5.4.4 金半場效電晶體

元件結構 另一種型式的 JFET稱為金半場效電晶體(metal-semiconductor field-effect transistor,簡稱 MESFET),與矽製 JFET(又稱為 pn JFET)不同的是

在 MESFET 中,閘接面使用蕭基位障接面(Schottky barrier junction)而非

pn 接面。雖然 MESFET 也可用矽來製造,不過一般均用砷化鎵(GaAs)或是其它化合物半導體材料。而使用 GaAs 之主要原因為在此材料中,

電子遷移率特別高,為一高速 FET 元件。 圖 5-20 為一 GaAs MESFET 之簡化橫截面圖,它是在一個具有極高電

阻值的半絕緣基板 (semi-insulating substrate)上沈積一層薄的磊晶層

(epitaxial layer)以作為作用區(active region)。 在圖 5-20 中,吾人在閘源極間加上一逆向偏壓將可在金屬閘極下方感

應一空乏區,以達到調變通道電阻的目的。若此負電壓足夠大,以致於

空乏區擴展至與基板接觸時,將產生夾止(pinch-off)狀態,其工作原理與

JFET 非常類似。 電流電壓特性 MESFET 之電流電壓關係式之寫法與 JFET 有些不同,這是為了使

MESFET 電流電壓特性之表示式與文獻中之寫法一致。在 tGS Vv ≤ ,

0=Di 。在 tGS Vv > ,出現傳導電流,可分為兩區間討論;在三極區,

[ ] )1()(2 2DSDSDStGSD vvvVvi λβ +−−= (5-69)

在飽和區內,若 vDS 繼續

增加,將使得原本在汲極

端的夾止點向源極方向移

動,造成有效通道長度縮

短。

在 MESFET 中,閘接面使

用蕭基位障接面而非 pn接面。

5.5 分立電路偏壓 277

n+n+ n

GS D

磊晶層

GaAs半絕緣基板

源極 閘極 汲極蕭基接點

歐姆接點

歐姆接點

圖 5-20 一 n-通道 MESFET 之元件結構。

上式之適用範圍為 tGS Vv > 且

tGSDS Vvv −< (5-70)

其中 β 稱為製程轉導參數, tV 則稱為臨界電壓。而 )1( DSvλ+ 因子亦出現

在三極區,這是因為加入此項因子後可使理論公式與實驗量測的曲線較

為接近。 在三極區與飽和區的邊界仍滿足 tGSDS Vvv −= (5-71)

而在飽和區中 )1()( 2

DStGSD vVvi λβ +−= (5-72)

其適用範圍為 tGS Vv > 且 tGSDS Vvv −> (5-73)

5.5 分立電路偏壓

設計一 MOSFET 放大器的第一步驟就是要建立一穩定的且可預測的直

流操作點。由第 4 章的觀念知,此操作點必須是在主動區(active region),即飽和區;而且必須要能提供足夠的訊號擺幅(signal swing)卻不致使元

件進入三極區或截止區(cutoff region)。 所謂一穩定的操作點(stable operating point)是指操作點與元件參數 tV和 nk 的變化幾乎無關。但是這些參數均與溫度有關,例如,溫度上升將

MOSFET 放大器的偏壓

要能提供足夠的訊號擺

幅,卻不致使元件進入三

極區或截止區。

278 第 5 章 場效電晶體

造成臨界電壓 tV 值下降(溫度係數約為 /mV2− );更重要的是,相同型

式的幾個元件其參數變化可能相當大。所以,一個良好的偏壓電路就是

要能穩住直流操作點對應的數值。以下我們將討論加強式 MOSFET 在

分立電路(discrete circuit)中兩種常用的偏壓方法。至於積體電路的偏壓

技術,我們將在後面章節討論。

5.5.1 四電阻偏壓

圖 5-21(a)的電路是第一個偏壓方法,而放入 SR 最主要的原因是 SR 可提

供負回授(negative feedback)而使直流操作點穩定,下面我們將會作一解

釋。 由圖 5-21 可得,

21

2

RRRVV DDGG +

= (5-74)

考慮 MOSFET 工作於飽和區,則

2)(21

tGSQnDQ VVkI −= (5-75)

又 DQI 和 GSQV 必須滿足 SDQGSQGG RIVV += (5-76)

以上二式聯立可解得操作點 Q,見圖 5-21(b)。 假設基於某種原因(例如溫度改變)使得汲極電流增加了 DiΔ ,因此源極

電壓亦變化了 SvΔ ,

(a)

VGG

0

−+

VGSQR2 RS

IDQ

RDR1

VDD

(b)

iD

vGSVGGVGSQVt

QIDQ

S

GG

RV

圖 5-21 加強式 MOSFET 分立電路放大器中的一種偏壓方法:(a)電路圖,(b)操作點分析。

一良好的偏壓電路可提供

負回授而使直流操作點穩

定。

5.5 分立電路偏壓 279

(a)

0

IDQ

RD

VDD

+

VDSQ

RG

+VGSQ

(b)

iD

vGSVDDVGSQVt

QIDQ

D

DD

RV

圖 5-22 加強式 MOSFET 分立電路放大器的另一種偏壓方法:(a)電路圖,(b)操作點分析。

DSS iRv Δ=Δ (5-77)

而閘源電壓的變量為 SGS vv Δ−=Δ (5-78)

也就是說, DQI 增加將使 GSQV 下降;對 MOSFET 元件本身而言, GSQV 下

降將使得 DQI 下降。如此一來, DQI 的淨增量將小於原來的增量 DiΔ ,此

即一負回授機構(negative-feedback mechanism)。

5.5.2 回授電阻偏壓

我們將討論的第二種偏壓方法見圖 5-22(a),通常有一大電阻 GR 連接在

汲極與閘極之間。因為閘極電流幾乎為零,所以 dc 閘極電壓將與 dc 汲

極電壓相等,這代表元件將操作在飽和區。顯然對於空乏式元件而言,

這種偏壓方法並不適用。由圖 5-22(a)可得 DDQDDGSQ RIVV −= (5-79)

2)(21

tGSQnDQ VVkI −= (5-80)

由以上二式可解出直流操作點,見圖 5-22(b)。 注意圖 5-22(a)電路的偏壓穩定性可藉由連接 RG 所提供的負回授行為

而達成。現在假設因為某種原因汲極電流增加了 DiΔ ,由電路可看出汲

極電壓降低了 DD iR Δ ,因而 VGSQ也降低了同樣的量, DD iR Δ 。由於 VGSQ

降低導致汲極電流下降,所以汲極電流整體的增量將遠小於原來假設的

增量 DiΔ 。

偏壓穩定性可藉由連接

RG所提供的負回授行為而

達成。

280 第 5 章 場效電晶體

例題 2

圖 5-21(a)的偏壓電路,供應電壓 VDD 為 12V, Ω== k2SD RR ,

Ω= k1001R ,和 Ω= k3002R 。NMOS 的元件參數為 V4=tV 和 =nk 2A/Vm4 。

(a)計算 DQI 和 DSQV 。

(b)若 2A/Vm8=nk ,則 RS需改為何數值方可維持與(a)相同之 DQI 。

解:

(a) 假設 NMOS 工作於飽和區,則

2)(21

tGSQnDQ VVkI −=

又負載線方程式為 SDQGSQGG RIVV +=

其中

V931

31221

2 =+

×=+

=RR

RVV DDGG

聯立得

2)4(22

9−×=

−GSQ

GSQ VV

解得 V5=GSQV , Am2=DQI 。於是

V44212)( =×−=+−= SDDQDDDSQ RRIVV

檢驗後發現 NMOS 工作於飽和區,因為 tGSQDSQ VVV −> 。

(b) 若 2A/Vm8=nk ,則依題意

22 )4(4)(21Am2 −×=−== GSQtGSQnDQ VVVkI

可得 V71.4=GSQV 。於是解得 RS為

Ω=−=−

= k15.22

71.49

DQ

GSQGGS I

VVR

5.6 小訊號模型

5.6.1 轉導

考慮在圖 5-23(a)中一觀念性的放大器。其中直流量 IDQ和 VDQ分別為

5.6 小訊號模型 281

2)(21

tGSQnDQ VVkI −= (5-81)

和 DQDDDDQ IRVV −= (5-82)

如圖 5-23(a)所示,一電壓訊號 gsv 疊加在直流電壓 VGSQ之上,因此全部

瞬時閘源電壓 GSv 為 gsGSQGS vVv += (5-83)

所對應的全部瞬時汲極電流 Di 為

2)(21

tGSnD Vvki −=

22 ])[(21)(

21

gstGSQntgsGSQn vVVkVvVk +−=−+=

22

21)()(

21

gsngstGSQntGSQn vkvVVkVVk +−+−= (5-84)

(5-84)式中右式的第一項代表直流電流 IDQ。現在假設 )(2 tGSQgs VVv −<< (5-85)

若此小訊號條件滿足,則我們可忽略(5-84)式中的最後一項,得 dDQD iIi +≅ (5-86)

其中訊號電流為

(a)

RD

VDD

+

+

VGSQ

vGS

vgs

dDQD iIi +=

dDQD vVv +=−+

(b)

iD

IDQ

VGSQ

vgs

vGS

id

tQ

mg=斜率

t

圖 5-23 (a)一觀念性的 MOSFET 放大器電路,(b)加強式 MOSFET 放大器的小訊號操作。

282 第 5 章 場效電晶體

gstGSQnd vVVki )( −= (5-87)

而 di 和 gsv 間之比例常數即為轉導 gm,

)( tGSQnm VVkg −= (5-88)

我們以圖 5-23(b)來解釋加強式 MOSFET 放大器的小訊號操作。注意,

gm等於 GSD vi − 特性在操作點的斜率,

)( tGSQnQGS

Dm VVk

vig −=

∂∂=

偏壓點

(5-89)

將 kn之表示式代入(5-88)式得

)()( tGSQOXnm VVL

WCg −⎟⎠⎞

⎜⎝⎛= μ (5-90)

另外,亦可將 )( tGSQ VV − 以 nDQ kI /2 取代得

DQOXnm IL

WCg ⎟⎠⎞

⎜⎝⎛= μ2 (5-91)

因此我們發現: mg 正比於 tGSQ VVV −=Δ 。且給定一 MOSFET,gm 與直

流偏壓電流的開根號成正比。此外,在某一偏壓電流之下,gm 正比於

LW / 。 在第 4 章提過,BJT 的轉導正比於偏壓電流且與元件的實際尺寸和幾

何形狀無關,這些性質均與 MOSFET 不同。假設 Am1=DQI 和

2V/A20μμ =OXnC 。若 1/ =LW ,得 A/Vm2.0=mg ,若 10/ =LW ,得

A/Vm63.0=mg 。反觀 BJT 在 1mA 的操作電流下,即可得 A/Vm40=mg 。

由此例得知在相同偏壓電流下,BJT 的轉導將遠大於 MOSFET。

5.6.2 輸出電阻

若考慮通道長度調變效應,則必須在汲極和源極之間放入一有限輸出電

阻 or ,至於輸出電阻的定義為飽和區中 DSD vi − 特性曲線斜率的倒數,即

1−

=

Δ ⎟⎠

⎞⎜⎝

⎛∂∂

=常數GSvDS

Do v

ir (5-92)

因為

⎟⎠

⎞⎜⎝

⎛ +−=A

DStGSnD V

vVvki 1)(21 2 (5-93)

所以

gm與直流偏壓電流的開根

號成正比。

輸出電阻的定義為飽和區

中 iD − vDS 特性曲線斜率

的倒數。

5.6 小訊號模型 283

12)(

21

⎥⎦

⎤⎢⎣

⎡ −=

A

tGSQno V

VVkr (5-94)

因為(5-93)式中右式的第二項通常很小,所以對應某一 VGSQ 的電流 IDQ

可被近似為

2)(21

tGSQnDQ VVkI −≅ (5-95)

代入(5-94)式得

DQ

Ao I

Vr ≅ (5-96)

通常 or 之值約在 10 至 100 Ωk 之間。

5.6.3 低頻模型

一階模型 由(5-87)式可得 gsmd vgi = ,再合併 0=gi 可得出 MOSFET 低頻一階模型,

見圖 5-24(a)。本圖強調介於閘極與源極間由閘極看進去的電阻為無窮

大,而輸出端則為一電壓控制電流源 gsmvg 。

(a)

S

gmvgs

DG

S−

+vgs

(b)

S D

is is

1/gm

G

(c)

S

gmvgs

DG

S−

+vgs ro

id

(d)

S

gmvgs

DG

S−

+vgs ro

id

gmbvbs−

+vbs

B

圖 5-24 MOSFET 的低頻小訊號模型:(a)理想情況,(b)理想 T-等效模型,(c)考慮輸出電阻的模型,

(d)考慮輸出電阻以及基體效應後之模型。

介於閘極與源極間由閘極

看進去的電阻為無窮大。

284 第 5 章 場效電晶體

就 T-等效模型而言,見圖 5-24(b)。因 0=gi ,故

)1( m

gsgsmds g

vvgii === (5-97)

可改寫為

ms

gs

giv 1= (5-98)

故 1/gm代表介於閘極與源極之間由源極看進去的電阻。注意由於閘極電

流為零,所以介於閘極與源極間由閘極看進去的電阻仍為無窮大。 輸出電阻與基體效應 在加入輸出電阻,則根據第 4 章的推導可將結果改寫為

dso

gsmd vr

vgi 1+= (5-99)

再合併 0=gi 可得到圖 5-24(c)。另一方面,回顧大訊號關係式

)1()(21 2

DStGSnD vVvki λ+−= (5-100)

顯示 Di 似乎只與 GSv 和 DSv 有關。但事實上,當基板(基體)端B 未與源極

S 連接時,一訊號電壓 bsv 將出現在基體和源極之間。因為基體端就好

像 MOSFET 的另一個閘極,因此訊號 bsv 將產生一汲極電流成份,我們

將它寫成 bsmbvg ,其中 gmb稱為基體轉導(body transconductance)。圖 5-24(d)

顯示一考慮基體效應後的低頻等效電路模型。而基體轉導 gmb被定義為

常數常數, ==

Δ

∂∂

=DSGS vvBS

Dmb v

ig (5-101)

對照(5-99)式,於是在考慮基體效應後小訊號關係式改寫為

bsmbdso

gsmd vgvr

vgi ++= 1 (5-102)

由基體效應關係式

)22(0 FFSBtt vVV φφγ −++= (5-103)

再由(5-101)式即可得

BS

t

t

D

BS

Dmb v

VVi

vig

∂∂

∂∂=

∂∂=

SB

tm

SB

ttGSQn v

VgvVVVk

∂∂=⎟

⎞⎜⎝

⎛∂∂−⋅−−= )( (5-104)

可表示成

因為基體端就好像MOSFET的另一個閘極,因此訊號

vbs 將產生一汲極電流成

份,我們將它寫成 gmbvbs,

其中 gmb稱為基體轉導。

1/gm 代表介於閘極與源極

之間由源極看進去的電

阻。

5.6 小訊號模型 285

mmb gg χ= (5-105)

其中χ為一代表基體效應嚴重程度的一參數,

FSBSB

t

VvV

φγχ

22 +=

∂∂

=Δ (5-106)

而χ值的範圍約在 0.1 至 0.3 左右。

5.6.4 高頻模型 圖 5-25(a)顯示一 MOSFET 完整的高頻模型,其中 gbC 代表閘極至基板電

容,Cgs和 Cgd代表閘極至通道電容。在飽和區內,由於汲極端夾止且通

道在靠近汲極附近幾乎無電荷儲存,故 Cgd 之值很小,理想情況可視為

零。而閘源電容 Cgs可引用一近似公式

)(32 WLCC OXgs = (5-107)

至於 Csb和 Cdb則代表逆偏 n+−p 接面之空乏電容,根據二極體空乏電容

的公式,

bi

SB

sbosb

VV

CC+

=1

(5-108)

bi

DB

dbodb

VV

CC+

=1

(5-109)

(a)

G

S−

+

vgs ro gmbvbs

D

CgsCgb

Cgd

+

vbs Csb

Cdb

B B

gmvgs

(b)

gmvgs

G

S −

+vgs ro

D

Cgs

Cgd

S

BB

圖 5-25 MOSFET 的高頻小訊號模型:(a)完整模型,(b)簡化模型。

選擇性閱讀

286 第 5 章 場效電晶體

在飽和區中,基於 SBDB VV > ,故 sbdb CC < 。 另一方面,在放大器電路中,若基體端與源極端短路則 0=bsv ,於是

0=bsmbvg 且 Csb消失;此外 Cgb和 Cgs間之並聯可合成一新的電容,我們

仍以 Cgs表示。另外,在許多高頻電路分析中,Cdb並不重要,可以忽略。

於是簡化後的高頻模型重繪於圖 5-25(b),我們將在第 7 章以此模型分析

MOS 放大器的高頻響應。

例題 3

圖 5-26 所示電路為一使用回授電阻偏壓的放大器,其中 MOSFET 的參

數為 V1=tV , 2A/Vm5.0=nk ,和 V50=AV 。試計算其電壓增益,輸入

電阻 Rin和輸出電阻 Rout。

解:

直流分析如下:在飽和區內,

22 )1(25.0)1(25.0 −=−= DSQGSQDQ VVI

和寫下負載線方程式 DQDQDDSQ IIRV 101010 −=−=

由以上二式可解得 Am73.0=DQI 和 V7.2== GSQDSQ VV 。因此,

mA/V85.0)17.2(5.0)( =−=−= tGSQnm VVkg

Ω=== k5.6873.0

5050

DQo I

r

Rin

Rout

vi

RL =10kΩ

RG =10MΩ ∞vo

RD =10kΩ

+10V

−+

圖 5-26 例題 3:電路圖。

5.7 分立電路放大器 287

gmvgs

G

+vgs ro

S

MOSFET D

RG

RD RL

+

voRinvi −+ Rout

圖 5-27 例題 3:小訊號等效電路。

放大器的小訊號等效電路如圖 5-27。在此我們忽略大電阻 GR 的效

應,則電壓增益可近似為

4)10||10||5.68(85.0)||||( −=−=−≅=Δ LDomi

o RRrgvvA VV

我們利用米勒定理(Miller’s theorem)來計算輸入電阻 inR 如下:

Ω=+

=−

= M241

101 A

RR Gin

至於輸出電阻為 Ω== k7.8|||| GDoout RRrR

5.7 分立電路放大器

5.7.1 共源放大器

圖 5-28(a)為一 MOSFET 共源放大器。其偏壓安排為使用類似圖 5-21(a)的方法,即固定偏壓與自偏之組合電路。在飽和區內,

2)(21

tGSQnDQ VVkI −= (5-110)

和 SDQGSQSS RIVV += (5-111)

以上二式聯立可解出直流操作點 Q。

288 第 5 章 場效電晶體

(a)

Rin

Rout

∞=1CC

vi

voR

RG RS

∞=2CC

RL

∞=SC

−VSS

VDD

RD

−+

(b)

G

+vgs ro

S

MOSFET D

RDRout RL

vo

Rinvi

R

RGgmvgs

−+

圖 5-28 一 MOSFET 共源放大器:(a)電路圖,(b)小訊號等效電路。

根據操作點數值可計算小訊號參數,其中轉導 mg 為

)( tGSQnm VVkg −= (5-112)

DQDQ

Ao II

Vr

λ1=≅ (5-113)

將 mg 和 or 代入小訊號模型,經展開後可得圖 5-28(b)。根據圖 5-28(b)所

得小訊號分析的結果如下: Gin RR = (5-114)

和 oDout rRR ||= (5-115)

其中 inR 和 outR 分別為放大器的輸入和輸出電阻。而電壓增益 VA 為

5.7 分立電路放大器 289

(a)

Rin

∞=1CC

vi

voR

RG

RS2

∞=2CC

RL

∞=SC

−VSS

VDD

RD

RS1

−+

(b)

vo

Rinvi

R

RG

RS1

)||( LD RR

S

is1/gm

is

G

+

vg

MOSFET

−+

D

圖 5-29 (a)具有一源極電阻未旁路的共源放大器,(b)T-等效模型展開

後之小訊號等效電路。

in

inoDLm

i

oV RR

RrRRgvvA

+−==Δ )||||( (5-116)

現在考慮另外一種情況,圖 5-29(a)為一具有一源極電阻未旁路的共源放

大器,分析如下:前面提過介於閘極與源極間由源極看進去的電阻為

1/gm,見圖 5-29(b)。利用此結果,則 gsv 與 gv 的關係可以一分壓觀念表

290 第 5 章 場效電晶體

示成

mS

mggs gR

gvv1

1

1 += (5-117)

因此電壓增益 VA 在忽略 or 的條件下可表為

11)||(

Sm

LD

in

in

i

oV Rg

RRRR

Rvv

A++

−==Δ (5-118)

讀者可注意上式中右式的第二項因子代表介於閘極與汲極間的增益大

小,可視為汲極線上全部電阻與源極線上全部電阻之比,這是一個簡單

易記的規則。

(a)

Rin

Rout

∞=1CC

vivo

R

RG RS

∞=2CC

RL

−VSS

VDD

RD ∞=DC

+−+

(b)

G

+vg ro

S

MOSFET

RS RoutRL vo

vi

R

+

DD

Rin

is

1/gm

is−+

圖 5-30 一 MOSFET 共汲放大器:(a)電路圖,(b)小訊號等效電路。

介於閘極與汲極間之增益

為汲極線上全部電阻與源

極線上全部電阻之比。

5.7 分立電路放大器 291

5.7.2 源極追隨器

若我們將汲極端接地即可得到一共汲放大器(common-drain amplifier),又名源極追隨器(source follower),見圖 5-30(a)。其特性與 BJT 射極追隨

器相當類似,分析如下:根據以小訊號模型展開之結果,見圖 5-30(b),其輸入電阻 inR 為

Gin RR = (5-119)

而輸出電阻 outR 為

Som

out Rrg

R ||||1⎟⎠

⎞⎜⎝

⎛= (5-120)

在增益的計算上,因為

)||||(1

)||||(

oLSm

oLS

g

o

rRRgrRR

vv

+= (5-121)

in

in

i

g

RRR

vv

+= (5-122)

故電壓增益 VA 為

moSL

oSL

in

in

i

oV grRR

rRRRR

RvvA

1)||||()||||(

+⋅

+==Δ (5-123)

5.7.3 共閘放大器

若我們將閘極端接地即可得到共閘放大器,見圖 5-31(a)。在此為簡化分

析過程,我們將 or 忽略。根據圖 5-31(b)之小訊號等效電路,分析如下:

輸入電阻 inR 為

Sm

in Rg

R ||1⎟⎠

⎞⎜⎝

⎛= (5-124)

電壓增益 VA 為

in

inDLm

i

oV RR

RRRgvvA

+==Δ )||( (5-125)

輸出電阻 outR 為

Dout RR = (5-126)

292 第 5 章 場效電晶體

(a)

Rout

∞=GCvo

RG RS

∞=2CC

RL

−VSS

VDD

RD

R

Rin

vi

∞=1CC−

+

−+

(b)

S

+

MOSFET D

RDRout RL vo

Rinvi

R

RS

gmvgsvgs 1/gm

G−

+

−+

圖 5-31 一 MOSFET 共閘放大器:(a)電路圖,(b)小訊號等效電路。

5.8 傳輸閘

MOSFET 非常適合於作為類比切換應用或稱為傳輸閘 (transmission gate),主要因為在原點附近的區域 DSD vi − 特性幾乎是線性的。更者,這

些特性線通過原點,所以不會產生像 BJT 中的偏移電壓問題(BJT 偏移電

壓 CEVΔ 的由來與計算可參考第 4 章例題 1 以及(4-48)式)。在許多應用

上,如取樣持有電路 (sample-and-hold circuits),截波電路 (chopper circuit),和數位至類比轉換器(digital-to-analog converters)均要使用到

MOS 傳輸閘。另外,在切換電容濾波器(switch-capacitor filter)的設計上,

MOS 傳輸閘的應用也相當重要。

選擇性閱讀

5.8 傳輸閘 293

(a)

CLRL

vO

vI

vC

−+

(b)

CLRL

vO

vI

vC

−+

圖 5-32 (a)一理想的電壓控制傳輸閘,(b)以一 n-通道加強式 MOSFET

實現此一傳輸閘,並假設 Vt = 2V。

5.8.1 NMOS 傳輸閘

如圖 5-32(a)所示並假設 Iv 為一介於 V5− 和 V5+ 之間的類比輸入訊號,

並經由一理想的電壓控制傳輸閘傳送此一類比訊號至 Ov 。假設當 Cv 為高

態電壓時,開關短路;而 Cv 處於低態電壓時,開關斷路。

現在我們利用一 n-通道加強式 MOSFET 來實現此一傳輸閘,見圖

5-32(b),並假設 V2=tV 。注意,為避免基板產生不當的傳導效應,p-

型基體端點(在圖 5-32(b)中未畫出)必須連接至 V5− 以下。為使傳輸閘斷

路,則控制電壓 Cv 可選擇 V3− 。另一方面,為使傳輸閘導通且 Iv 完整

傳送,則 Cv 可選擇 V7+ 。

由於傳輸閘導通時,MOSFET 必須設計在三極區工作,而三極區在原

點附近具有壓控電阻的特性,這將出現傳輸閘之導通電阻(onresistance)與訊號振幅有關,因此造成訊號傳輸時的失真。

5.8.2 CMOS 傳輸閘

若將一 PMOS 與原先之 NMOS 並聯,並將原先的控制電壓以互補方式

出現,即 Cv 控制 NMOS,而 Cv 控制 PMOS,即可獲得一 CMOS 傳輸閘,

見圖 5-33(a),習慣上可以圖 5-33(b)之電路符號來表示。 現假設 Iv 仍為一介於 V5− 至 V5+ 之間的類比輸入訊號且 =tnV

=|| tpV V2 。此外,高態控制電壓設為 V5+ ,而低態控制電壓設為 V5− 。

顯然,當 =Cv 低態 V5−= 時, =Cv 高態 V5+= ,這時 NQ 和 PQ 必然同時

截止,也就傳輸閘出現近似雙向斷路的現象。而當 =Cv 高態 V5+= , =Cv

294 第 5 章 場效電晶體

(a)

CLRL

vO

vI

vC

QN

QP

Cv

−+

(b)

C

vOvI

C

圖 5-33 CMOS 傳輸閘:(a)電路圖,(b)電路符號的簡易表示法。

低態 V5−= ,則 Iv 在 V5− 至 V3+ 之間,NMOS 導通;而 Iv 在 V3− 至

V5+ 之間,PMOS 導通。因此, Iv 在-3V 至-5V 間,只有 NMOS 導

通, Iv 在+3V 至+5V 間,只有 PMOS 導通,而 Iv 在 V3− 至 V3+ 之間兩

者均導通。更重要的是,當一個元件的電阻增加時,另一元件的電阻下

降,造成此開關的導通電阻幾乎為一常數,可大幅降低訊號傳輸的失真。

5.1 考慮一特殊的 MOSFET,其大訊號關係式為

232 )1()(21

DStGSnD vVvki λ+−=

其中 2V/A20μ=nk , V1=tV , 1V05.0 −=λ 。試計算在操作點

)V2,V2()( , =DSQGSQ VV 之下的 mg 和 or 值。最後,請完成其小訊號等

效電路模型。(提示:推導過程,請忽略基體效應。)

5.2 參考圖 5-26 電路,若直流電源改為+15V,MOSFET 參數修正為

2mA/V25.0==L

WCk OXnn μ , V5.1=tV , V50=AV 。其他電阻值均

維持不變,即 Ω= M10GR , Ω== k10LD RR 。試計算: (a) 直流操作點數值 DQI 和 GSQV 。

(b) 輸入電阻 inR 。 (c) 電壓增益 io vv / 。 (d) 輸出電阻 outR 。

§ 練習題

CMOS 開關的導通電阻幾

乎為一常數,可大幅降低

訊號傳輸的失真。

練習題 295

RG2=

RD=

2kΩ CS

vo

RL = 4kΩCC2

4kΩ

3.5MΩvi

CC1

RG1=26.5MΩ

VDD = +30V

−+ RS=

習題 5.3 附圖。

5.3 如附圖所示為一 JFET 共源放大器,其中 JFET 的規格參數為

mA12=DSSI , V5−=PV ,和 V300=AV 。

(a) 計算直流汲極電流和汲極電壓。 (b) 計算電壓增益 io vv / 。

⎥⎥⎦

⎢⎢⎣

−=⎟

⎞⎜⎝

⎛ −−

=∂∂=

DSS

DQ

P

DSS

P

GSQ

P

DSS

GS

Dm I

IV

IV

VV

Ivig 212JFET而言,提示:對

5.4 附圖所示為一 JFET 源極追隨器,已知在 ∞=LR 時的開路電壓增益

io vv / 為 0.86 且 Ω= k40or ,試計算: (a) 輸出電阻 outR 。 (b) 在 Ω= k20LR 時的電壓增益 io vv / 。

40kΩ

vo

RL

vi

Rout

900kΩRin

100kΩ ∞

+10V

−+

習題 5.4 附圖。

296 第 5 章 場效電晶體

Rin

∞=1CC

vi

vo

∞=2CC

−10V

+10V

IQ = 2mA RL = 200kΩ−+

R = 0.5 kΩRD = 2.5kΩ

習題 5.5 附圖。

5.5 附圖所示為一定電流偏壓之MOSFET共閘放大器電路,令MOSFET的 2mA/V1=nk , V1=tV 。計算: (a) 閘源電壓 GSQV 。

(b) 輸入電阻 inR 。 (c) 電壓增益 io vv / 。

5.6 附圖為一 MOS 共閘放大器,其中 2mA/V1==L

WCk OXnn μ , V1=tV 。

計算: (a) 直流源極電壓,並確認 MOS 是否工作在飽和區。 (b) MOS 的轉導值 mg 。

Rout

vo

Rin

vi

∞ R = 1kΩ

IQ = 2mA

2.5kΩ

+10V

−10V

−+

習題 5.6 附圖。

練習題 297

(c) 放大器的輸入電阻 inR 。 (d) 放大器的輸出電阻 outR 。 (e) 放大器的電壓增益 io vv / 。

5.7 附圖所示為一 MOS 共閘放大器, 2mA/V2=nk , V1=tV 。計算: (a) 直流操作點之 GSQV 與 DSQV 。

(b) 轉導 mg 。 (c) 輸入電阻 inR 。 (d) 電壓增益 io vv / 。

vo

Rin

viIQ = 1mA

−10V

VDD = +10V

RD = 5kΩ∞=2CCRG = 1MΩ

∞=1CC

−+

習題 5.7 附圖。

−10V

Q1

Q2

IO Rout

R = 2kΩ

習題 5.8 附圖。

298 第 5 章 場效電晶體

5.8 附圖為一 MESFET 之定電流源,MESFET 之參數如下: =1β 2A/Vm5.0 , 2

2 A/Vm78.0=β , V221 −== tt VV , 1V05.0 −=λ 。 (a) 計算輸出電流 OI 和 2Q 之閘源電壓 2GSV 。 (b) 證明輸出電阻 RrgrgR omomout 1122≅ 。

參考書目

1. J. M. Rabaey, A. Chandrakasan and B. Nikolic, “Digital Integrated Circuits: A Design Perspective,” 2nd ed. Prentice Hall, Inc., 2003.

2. Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices,” 2nd ed. Cambridge University Press, 2009.

3. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

4.R. T. Howe and C. G. Sodini, “Microelectronics: An Integrated Approach,” Prentice-Hall International, Inc., 1996.

5. S. M. Sze and M. K. Lee, “Semiconductor Devices, Physics and Technology,” 3rd ed. New York: Wiley, 2012.

6. D. A. Neamen, “Semiconductor Physics and

Devices: Basic Principles,” 3rd ed. McGraw- Hill, 2002.

7. B. C. Streetman, “Solid State Electronic Devices,” 4th ed. Englewood Cliffs, NJ; Prentice-Hall, Inc., 1995.

8. R. S. Muller and T. I. Kamins. “Device Electronics for Integrated Circuits,” John Wiley & Sons, New York, 1977.

9. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

10. J. D. Plummer, M. D. Deal and P. B. Griffin, “Silicon VLSI Technology, Fundamentals, Practice and Modeling”, Prentice Hall, Inc., 2000.

11. 張文清,“SPICE 電子電路模擬”,四版,台

北鼎茂圖書,2009。

積體電路放大器

讀者在研讀第 2 章運算放大器各類電路中並未觸及其

內部詳細半導體元件與積體電路組成,因為這需要具

備類比積體電路的基礎。而在類比積體電路中,電流

源與差動放大器可說是兩個最基本的電路方塊。本章

將介紹 BJT 和 MOS 電流源,差動放大器的差模與共

模觀念以及小訊號分析的技巧,再擴展至 CMOS 與

BiCMOS 等積體電路放大器。 6.1 BJT 積體電路偏壓

6.2 MOS 積體電路偏壓

6.3 BJT 差動放大器

6.4 MOS 差動放大器

6.5 差動放大器的半電路觀念

6.6 主動負載差動放大器

6.7 偏移電壓和偏壓電流

6.8 NMOS 放大器

6.9 CMOS 與 BiCMOS 放大器

6.1 BJT 積體電路偏壓 301

6.1 BJT 積體電路偏壓

積體電路放大器(integrated-circuit amplifiers)的設計而言,第 4 和

第 5 章的偏壓技術(例如 BJT 的單電源偏壓和 MOS 的回授電阻偏

壓等)並不適用,因為它需要很多的電阻以及很大的耦合和旁路電容。以

目前的 IC 技術來說,幾乎不可能製作大電容(指 Fμ 數量級),且製造大

電阻亦是不經濟的作法。另一方面,IC 技術為設計者提供了同時使用很

多電晶體的可能性,而且製作成本不高。更者,在製造條件不變的情況

下,很容易做出特性匹配的電晶體。因此,在 IC 中的偏壓想法與在分

立電路放大器(discrete-circuit amplifiers)並不相同。 基本上,積體電路的偏壓設計是利用定電流源 (constant current

source)。且在一具有很多級放大器的 IC 晶片(chip)上,為了偏壓不同級

的放大器,首先在某一位置產生一定值參考的直流電流,然後再反覆產

生至其他位置。這種方法的好處是當電源供應器電壓或溫度變動時,不

同級的偏壓電流可以一起跟著變。本節中,我們將討論一些電流源和操

縱電流電路,並深入分析它們的電路特性。

6.1.1 基本電流鏡

電流鏡(current mirror)在 IC 電流源的設計中是最基本的建造方塊,見圖

6-1(a)。它是由兩個匹配的電晶體 1Q 和 2Q 所組成,且由於它們的基極和

射極均接在一起,因此具有相同的 BEv 。除此之外, 1Q 的集極和基極間

連接成短路。 此電流鏡以一定電流源 REFI 來驅動,見圖 6-1(a),而在 2Q 的集極取其

輸出電流 OI 。在此接在 2Q 集極的電路必須使 2Q 確定在主動區操作(保持

其集極電壓大於基極電壓)。輸入電流 REFI 流經二極體型式的電晶體

1Q ,建立了一橫越 1Q 的電壓,此電壓再出現於 2Q 的基極與射極間。因

為 2Q 與 1Q 完全一致,所以 2Q 的射極電流將和 REFI 相等,於是只要 2Q 保

持在主動區,其集極電流 OI 將近似等於 REFI 。此外,一般 IC 電流鏡常

以雙電源型式出現,見圖 6-1(b),且可在同一 IC 晶片上提供差動放大器

之偏壓。

積體電路的偏壓設計是利

用定電流源。

Q2 集極的電路必須使 Q2

確定在主動區操作。

302 第 6 章 積體電路放大器

(a)

VCC

+VBE

Q1 Q2

IO

IREF

VO

Rout

差動放大器

(b)

VCC

Q1 Q2

IO

IREF

差動放大器

−VEE

Q3

RC

Q4

RC

圖 6-1 (a)單電源系統中,基本的 BJT 電流鏡,(b)在雙電源系統中,以電流鏡作為差動放大器之偏

壓。

有限電流增益

接下來考慮有限電晶體 β 值對電流鏡操作的影響。分析如下:因為 1Q和 2Q 匹配且具有相同的 BEV ,故其集極電流 CI 相等。由於電晶體操作在

主動區,故 CI 與 BEV 之關係為

TBE VVSC eII = (6-1)

由圖 6-2(a)可看出 2Q 的集極電流 CI 即電流源的輸出電流 OI ,

CO II = (6-2)

寫下一節點方程式並代入上式,

⎟⎠⎞

⎜⎝⎛ +=+=

ββ212 O

CCREF IIII (6-3)

因此電流鏡的電流增益(current gain)為

ββ

β21

12 +

=+

=REF

O

II (6-4)

對於 1>>β 而言,此值趨近於 1。

有限輸出電阻 造成 OI 不等於 REFI 的另一因素為 2Q 之集極電流 OI 對 2Q 之集極電壓 OV之線性關係。事實上,即使我們忽略有限 β 值的效應,並假設 1Q 和 2Q 完

6.1 BJT 積體電路偏壓 303

(a)

VCC

Q1Q2

IO=IC

IREF

IC βCI2

βCI

βCI

(b)

2

1

oO

O

rVI =

ΔΔ=斜率

VBEVCE(sat)

IREF

IO

VO

圖 6-2 電流鏡的實際特性:(a)有限電流增益的影響,(b)有限輸出電阻的效應。

美匹配,電流 OI 也只有在 2Q 的集極電壓 OV 等於基射電壓 BEV 時才會等於

REFI 。因為在忽略基極電流的條件下,令 BECE VV =1 ,則

⎟⎠

⎞⎜⎝

⎛ +=≅A

BEVVSCREF V

VeIII TBE 11 (6-5)

和令 OCE VV =2

⎟⎠

⎞⎜⎝

⎛ +==A

OVVSCO V

VeIII TBE 12 (6-6)

當集極電壓 OV 增加時, OI 增加;至於 OI 對 OV 之關係則由 2Q 的 AV 值決

定,見圖 6-2(b)。換言之,圖 6-1(a)中電流鏡的輸出電阻 outR 等於 2Q 的

輸出電阻 2or ,即

2oO

Oout r

IVR =

ΔΔ

= (6-7)

6.1.2 基極電流補償

以下我們將討論幾種電路技巧用來降低 OI 受 β 的影響以及提高輸出電

阻。如圖 6-3(a)所示,電晶體 3Q 的射極電流用來供應 1Q 和 2Q 的基極電

流,此二基極電流之和還要再除以 3Q 的(1+ β ),使得 REFI 供應的基極

誤差電流變得較小。 假設 1Q 和 2Q 匹配,因此它們具有相同的集極電流 CI 。詳細的分析見

圖 6-3(a),在節點X 寫下一節點方程式並代入 CO II = ,

電流 IO只有在 Q2的集極

電壓 VO等於基射電壓 VBE

時才會等於 IREF。

304 第 6 章 積體電路放大器

)1(

2ββ +

+= CCREF

III (6-8)

因此,這個電流鏡之電流增益為

)(21

1

ββ ++

=2

REF

O

II (6-9)

因為 2β >> β ,於是

2

21

1

β+

≅REF

O

II (6-10)

其意義為由於有限 β 值所造成的誤差已經由 2/ β 降至 2/ 2β 。因為在節

點X 與正電源 CCV 之間連接一電阻 R,於是

R

VVVI BEBECCREF

31 −−= (6-11)

6.1.3 多輸出電流鏡

前面提過,在 IC 偏壓的設計上,往往需要在某一位置產生一個參考直

流電流,而後將此電流重複產生至其它位置,以偏壓在 IC 中其他級的

(a)

VCC

Q1Q2

IO = ICIC

Q3

IREFR

X

βCI

βCI

βCI2

)1(2

ββ +CI

(b)

VCC

Q2

Q4

IREF

−VEE

Q1

Q3

R

I3

I4

×2

×3

Q6

Q5

I5

I6

×4

圖 6-3 (a)基極電流補償電流鏡,(b)多輸出電流鏡。

有限β值所造成的誤差已

由原先的 2/β降至 2/β 2。

6.1 BJT 積體電路偏壓 305

放大器,圖 6-3(b)即為一個例子,我們稱為多輸出電流鏡(current mirror with multiple outputs)。假設所有電晶體均具有高 β 值且基極電流可以忽

略。則直流參考電流為

R

VVVVI BEEBEECCREF

21 −−+= (6-12)

因此, 3Q 的集極電流 3I 為

REFII =3 (6-13)

而 4Q 的集極電流 4I 則為 REFI 的兩倍,

REFII 24 = (6-14)

注意,圖 6-3(b)中出現 2× , 3× ,和 4× 符號,分別代表 4Q , 5Q ,和 6Q三電晶體其射基接面面積為 1Q 之 2 倍,3 倍,和 4 倍。因此, 5Q 的集極

電流 5I 為

REFII 35 = (6-15)

而, 6Q 的集極電流 6I ,則為

REFII 46 = (6-16)

此外, 3Q 和 4Q 之間出現很重要的差別。在此, 3Q 可以供應(sources)電流 3I 給任何電路,但 3Q 的集極電壓不能超過 )( 3EBCC VV − 。另一方面,

4Q 由某一電路汲取 (sinks)電流 4I ,但 4Q 的集極電壓必須恆大於

)( 4BEEE VV +− ,以維持電晶體在主動區操作。

6.1.4 韋勒電流源

最後一個介紹的電流源電路稱為韋勒電流源(Widlar current source),見圖

6-4(a)。注意它與基本電流鏡電路不同之處在於有一電阻 ER 放入 2Q 的射

極接線上。以下分兩部份介紹: 直流分析 首先針對 1Q 和 2Q 寫下主動區的電流電壓特性方程式並忽略基極電流所

造成的誤差,即

TBE VVSCREF eIII 1

1 =≅ (6-17)

TBE VVSCO eIII 2

2 == (6-18)

將以上二式相除可得

圖 6-3(b)中出現×2,×3,和×4 符號,分別代表 Q4,

Q5,和 Q6三電晶體其射基

接面面積為 Q1之 2 倍,3倍,和 4 倍。

306 第 6 章 積體電路放大器

(a)

VCC

Q1Q2

IREFR

IO VO

Rout

+VBE1

+−VBE2

RE

−VEE

(b)

+

BJT

ro Rout

it

vπrπ gmvπit

vt

re

BJT

RE

−+

B2 C2

E2

圖 6-4 韋勒電流源:(a)電路圖,(b)輸出電阻的計算。

TBEBE VVV

O

REF eI

I )( 21−= (6-19)

又由射極之迴路方程式可得

EOEEBEBE RIRIVV ≅=− 21 (6-20)

代入(6-19)式可得

⎟⎠

⎞⎜⎝

⎛=O

REFTEO I

IVRI ln (6-21)

交流分析 所謂輸出電阻 outR 代表一變量電阻(incremental resistance),即輸出電壓的

變量 OVΔ 比上輸出電流的變量 OIΔ ,

O

Oout I

VRΔΔ= (6-22)

故只要在變動很小的條件下,可以小訊號模型展開,見圖 6-4(b),此時

t

tout i

vR = (6-23)

圖中 1Q 部份出現一電阻 er ,這是因為 1Q 的基集間短路,利用電源吸收

(source absorption)原理可將原有集射間之電壓控制電流源 πvgm 以一

mg/1 之電阻取代,因此 1Q 二極體之等效電阻為 em rgr =)/1(||π 。 如圖 6-4(b)所示,忽略電阻 er ,則由 2Q 的射極往集極流經 or 之訊號電

輸出電阻 Rout 代表一變量

電阻,即輸出電壓的變量

ΔVO 比上輸出電流的變量

ΔIO。

因為 Q1的基集間短路,利

用電源吸收原理可將原有

集射間之電壓控制電流源

gmvπ以一 1/gm 之電阻取

代。

6.1 BJT 積體電路偏壓 307

流為 πvRg Em )/1( ′+ ,其中 πrRR EE ||=′ 。故可寫下一迴路方程式為

oE

mt rvR

gvv ππ ⎟⎠

⎞⎜⎝

⎛′

+−−= 1 (6-24)

在輸出端寫下一節點方程式為

ππ vR

gvgiE

mmt ⎟⎠

⎞⎜⎝

⎛′

+−= 1 (6-25)

故輸出電阻為

)||()1( Eomot

tout Rrrgr

ivR π++== (6-26)

此外,由於

T

A

C

A

T

Com V

VIV

VIrg =⋅= (6-27)

其典型值約為 4,000。故(6-26)式可近似表為 )]||(1[ Emoout RrgrR π+≅ (6-28)

因此輸出電阻大幅增加了 )]||(1[ πrRg Em+ 倍,這也是韋勒電路的主要優

點之一。

例題 1

圖 6-5(a)為一威爾森(Wilson)電流源,此電路同時具有基極電流補償以

及增加輸出電阻兩種特性,分析此電路並 (a) 證明電流增益 REFO II / 可引用(6-10)式, (b) 證明其輸出電阻 oout rR )2/(β≅ 。

解:

(a) 參考圖 6-5(b)的直流分析,

22

2

)1()2(1

12

2

2

+++=

⎥⎦⎤

⎢⎣⎡

+++

⎟⎠⎞

⎜⎝⎛

++

=ββ

ββ

βββ

ββ

C

C

REF

O

I

I

II

22

21

1

221

1

βββ+

++

= (6-29)

(b) 對圖 6-5(a)電路進行小訊號模型展開,見圖 6-6。注意因 1Q, 2Q ,

和 3Q 操作在相同的直流電流,故三者之小訊號參數相等。

輸出電阻大幅增加了[1 +gm(RE || rπ)]倍,這也是韋

勒電路的主要優點之一。

308 第 6 章 積體電路放大器

(a)

VCC

Q1Q2

IREF

IO Rout

−VEE

Q3

(b)

VCC

Q1Q2

IREF

IC

−VEE

Q3

IC

X

12

++=

ββ

CO II

βCI

βCI

βCI2

)1()2(

++

βββCI

⎟⎠⎞

⎜⎝⎛ +

β21CI

圖 6-5 威爾森電流鏡:(a)電路圖,(b)電流增益的分析。

由圖 6-6 可看出測試電壓源 tv 送出之電流 ti 在節點E3 處可表為

11

1

π

π vgrv

rvi me

t ++≅

vrrr

vgr

veee

me

211 ≅⎟⎠

⎞⎜⎝

⎛ +=⎟⎠

⎞⎜⎝

⎛ +≅ α (6-30)

此外

+

BJT

ro3

Rout

it

itvt

BJT

gm3vπ 3vπ 3rπ 3

+vπ 1rπ 1gm1vπ 1

ro1 −

+vre2

C1

B1 E3

B3 C3

−+

E1

圖 6-6 計算例題 1 威爾森電流源輸出電阻之小訊號等效電路。

6.2 MOS 積體電路偏壓 309

vrvgiv omtt +−= 333 )( π

)( 31133 ππ rvgrgvri momot −−+≅

]1[ πrgrgvri momot ++=

⎟⎠⎞

⎜⎝⎛≅⎥⎦

⎤⎢⎣⎡ ++= otom

eot rirg

rri

2)1(

2ββ (6-31)

故輸出電阻 outR 為

ot

tout r

ivR

2β≅= (6-32)

6.2 MOS 積體電路偏壓

6.2.1 基本電流鏡

理想情況 在 NMOS 和 CMOS 類比積體電路中,為了偏壓不同的電晶體,所以需

要產生一穩定的參考電流,且此電流可以再產生正比的直流電流。一般

在積體電路中,電流鏡有兩個用途:偏壓電流源和主動負載。一基本的

MOS 電流源電路,見圖 6-7(a)。 此電流鏡是由兩個加強式 MOSFET 1Q 和 2Q 所組成,它們具有相同的

臨界電壓 tV 但不同的(W/L)比值。將一參考電流 REFI 送入電晶體 1Q ,而

輸出電流 OI 自 2Q 的汲極取出,注意,為使電流源正常工作, 2Q 必須操

作在飽和區。對 1Q 而言,

21 )(

21

tGSnREF VVkI −= (6-33)

因為 2Q 與 1Q 並聯,具有相同的 GSV ;因此

22 )(

21

tGSnO VVkI −= (6-34)

這裡我們忽略了 2Q 的有限輸出電阻。由(6-33)式和(6-34)式合併可得

1

2

n

n

REF

O

kk

II = (6-35)

代入製程轉導參數公式可得

1

2

)()(

LWLW

II

REF

O = (6-36)

因此在理想情況下, OI 將可以是 REFI 的一個倍數,且其值由元件的幾何

為使 MOS 電流源正常工

作,Q2 必須操作在飽和

區。

310 第 6 章 積體電路放大器

(a)

VDD

Q1 Q2

IREF

IOVO

Rout

+VGS

(b)

IO

IREF

0 VGSVGS−Vt VO

2/1 or=斜率

圖 6-7 (a)基本的電流鏡電路,(b)電流鏡非理想的輸出特性。

形狀來決定。 實際情況 假設 21 nn kk = ,理論上由以上二式可知 REFO II = 且 OI 與 OV 無關。但實際

上 REFO II = 只有在 2Q 的汲極電壓 OV 等於 GSV 時才會發生。若汲極電壓一

有變化,由於 2Q 的有限輸出電阻 2or 將使得電流 OI 亦產生變化,圖 6-7(b)顯示出 OI 與 OV 之關係。其中,輸出電流 OI 為

)1()(21

22

2 DStGSnO VVVkI λ+−=

)1()(21 2

2 OtGSn VVVk λ+−= (6-37)

和參考電流 REFI 為

)1()(21

12

1 DStGSnREF VVVkI λ+−=

)1()(21 2

1 GStGSn VVVk λ+−= (6-38)

於是

)1()1(

)()(

1

2

GS

O

REF

O

VV

LWLW

II

λλ

++= (6-39)

上式顯示 REFO II / 除了由外觀比值決定外,亦與λ, GSV 和 OV 均有關;請

特別注意 OI 將隨 OV 的增加而增加,見圖 6-7(b)。再次強調,圖 6-7(b)特性曲線在飽和區中之斜率倒數原代表 2Q 的輸出電阻 2or ,此量也正是電

流源的輸出電阻 outR ,即

實際上,只有在 Q2的汲極

電壓VO等於VGS時才會發

生 IO = IREF。

特性曲線在飽和區中之斜

率倒數原代表 Q2 的輸出

電阻 ro2,此量也正是電流

源的輸出電阻 Rout。

6.2 MOS 積體電路偏壓 311

2oO

Oout r

IVR =

ΔΔ= (6-40)

6.2.2 串疊電流鏡

由上一部份我們知道,MOS 電流鏡並不會出現像 BJT 的有限 β 值導致

電流轉換比不精確的現象,因此我們唯一關心的表現參數就是輸出電

阻。以圖 6-7(a)的電流鏡來說,輸出電阻約等於 2or 。 若使用圖 6-8(a)

的串疊電流鏡(cascode mirror),則其輸出電阻可以大幅增加。現在我們

希望求出串疊鏡的輸出電阻,見圖 6-8(b)至圖 6-8(d)。注意,因為二極

體型式的電晶體 1Q 和 3Q 的變量電阻(incremental resistance)等於 mg/1 ,加

(a)

Q3 Q4

IREFRout

Q1 Q2

−VSS

VDD

(b)

Q4 Rout

Q2

it

vt−+

(c)

Q4 Rout

it

vt

ro2

−+

(d)

+

MOSFET

Rout

it

vtro4

ro2

gmvgsvgs

D4G4

S4

−+

圖 6-8 MOS 串疊電流鏡:(a)電路圖,(b)(c)(d)輸出電阻的推演過程。

312 第 6 章 積體電路放大器

上 2Q 和 4Q 的閘極電流為零,故電阻 mg/1 之上並無壓降,因此 2Q 和 4Q 的

閘極其實是交流接地,見圖 6-8(b)。再將 2Q 以其輸出電阻 2or 取代連接至

4Q 的源極,見圖 6-8(c)。最後,以小訊號模型代入 4Q 展開得圖 6-8(d)。

寫下迴路方程式 24)( otogsmtt rirvgiv +−= (6-41)

因為 2otgs riv =− (6-42)

代入上式消去 gsv 可得

24424 oomoot

tout rrgrr

ivR ++==Δ (6-43)

若 ooo rrr == 42 ,則

)2( omoo rgrR += (6-44)

因此,利用串疊組態可將輸出電阻提高約 omrg 倍。

例題 2

圖 6-9(a)為一 MOS 威爾森(Wilson)電流源,試以小訊號分析證明其輸

出電阻為 144 oomout rrgR ≅ 。

解:

以小訊號模型代入圖 6-9(a)展開可得圖 6-9(b)。由輸出論之迴路方

程式可得 1444 )( gsogsmtt vrvgiv +−= (6-45)

又 11114 ogsmgsgs rvgvv −=+ (6-46)

上式整理可得 1114 )1( gsomgs vrgv +−= (6-47)

代入(6-45)可得 1114414 )1( gsomomgsott vrgrgvriv +++= (6-48)

因為 )/1( 21 mtgs giv = 代入上式可得

)1(1114444

24 omomom

mo

t

tout rgrgrg

gr

ivR +++==

1441442

1 )()( oomoomm

m rrgrrggg ≅≅ (6-49)

6.3 BJT 差動放大器 313

(a)

Q3 Q4

IREF

Rout

Q1 Q2

−VSS

VDD

IO

(b)

MOSFET

ro4Rout

it

it

vtgm4vgs4

1/gm2

+vgs4

+vgs1

1/gm3

MOSFET

gm1vgs1ro1

−+

D4G4

G1

S4

S1

D1

圖 6-9 MOS 威爾森電流源:(a)電路圖,(b)小訊號等效電路。

6.3 BJT 差動放大器

在類比積體電路(analog integrated circuits)中,差動放大器(differential amplifiers)是最基本且最重要的建立方塊。譬如,每一個運算放大器

(operational amplifiers)的輸入級就是差動放大器。另外,BJT 差動放大器

也是構成最高速邏輯電路射極耦合邏輯(emitter-coupled logic,ECL)的基礎。圖 6-10(a)顯示一基本 BJT 差動組態。它包含兩個匹配的電晶

314 第 6 章 積體電路放大器

體, 1Q 和 2Q ,其射極連接在一起且被一定電流源所偏壓。而集極電路

設計的基本要求為 1Q 和 2Q 不得進入飽和區。

6.3.1 大訊號分析

首先由 1Q 和 2Q 在主動區內之關係式可寫下

TEB VvvSE eIi )(

11−=

α (6-50)

TEB VvvSE eIi )(

22 −=

α (6-51)

以上二式相除可得

TDTBB VvVvv

E

E eeii Δ− == )(

2

1 21 (6-52)

其中定義 21 BBD vvv −=Δ 為大訊號差模輸入電壓。又因為兩電流 1Ei 和 2Ei 之

和為 QI ,

QEE Iii =+ 21 (6-53)

以上二式聯立可得

TD Vv

QE e

Ii −+

=11 (6-54)

(a)

vC1

Q1

vB1

iE1

vC2

Q2

vB2

iE2vE

IQ

−VEE

RC RC

VCC

−+ −+

(b)

0 2 4 6−2−4−6

IQ電流

iE2

iE1

2QI

iE2

iE1

vD/VT

圖 6-10 BJT 差動放大器:(a)電路圖,(b)射極電流對差模輸入電壓 vD之轉換特性。

差動放大器中,集極電路

設計的基本要求為 Q1 和

Q2不得進入飽和區。

6.3 BJT 差動放大器 315

TD Vv

QE e

Ii

+=

12 (6-55)

將以上二式作圖可得圖 6-10(b)之兩側射極電流對差模輸入電壓 Dv 之轉

換特性曲線。 首先考慮兩電晶體的基極接在一起再連接至一電壓 CMv ,稱為共模電

壓(common-mode voltage)。也就是,如圖 6-11(a)所示,其中 21 BB vv =

CMv= 。因為 1Q 和 2Q 匹配,故由對稱的觀念得知電流 QI 在兩元件中應為

對等分配,即 2/21 QEE Iii == 。或由(6-54)和(6-55)二式代入 0=Dv 亦可得

到相同的結果。這將導致在兩電晶體之集極電壓均為 )2/( CQCC RIV α− ,

於是介於兩集極間的電壓差為零。 現在若我們改變共模輸入訊號 CMv 之值。顯然,只要 1Q 和 2Q 維持在主

動區,電流 QI 仍是對等分配,故在集極的電壓將不會有任何的改變。因

此在理想情況下,差動組態對於共模輸入訊號並不反應;也就是說,整

個電路的操作並不會隨 CMv 的改變而改變。 若令 02 =Bv ,且令 V5.01 +=Bv ,見圖 6-11(b)。此時, 1Q 導通, 2Q 截

止。因 1Q 導通,射極電壓約 V2.0− ,可得 2Q 的射基接面逆偏。此時,

集極電壓 CQCCC RIVv α−=1 和 CCC Vv =2 。

(a)

Q1 Q2

IQ

−VEE

RC RC

VCC

vCM

2QIα

7.0−CMv2QI

2QI

CQ R

I2

α−

vC2 CCV=vC1 CCV=

CQ R

I2

α−

2QIα

(b)

Q1

vC2 = VCC

Q2

IQ

−VEE

RC RC

VCC

− 0.2V

IQ 0+0.5V

0α IQ

vC1 CCV=

CQ RIα−

圖 6-11 差動放大器兩種操作模式:(a)共模輸入,(b)大的差模輸入。

差動組態對於共模輸入訊

號並不反應。

316 第 6 章 積體電路放大器

Q1 Q2

vCM

10kΩ

0.5mA

10kΩ

0.5mA

+5V +5V

7.0−CMv

Q3

IQ = 1mA

Q4

9.3kΩ

−10V

IREF =

+10V

1mA

圖 6-12 說明輸入共模範圍之一例。

6.3.2 輸入共模範圍

所謂輸入共模範圍(input common-mode range,簡稱 ICMR)是指欲使差動

對電晶體操作在主動區以及電流源電晶體正常工作所能容忍輸入共模

電壓的範圍。現以圖 6-12 為例說明,當 1Q 和 2Q 正好離開主動區時將對

應輸入共模電壓的最大值 (max)CMv ,令 V2.01 =CEV ,也就是, (max)(5 CMv−

)7.0− 2.0= ,解得 (max)CMv V5.5= 。當電流源電晶體 3Q 正好離開主動區

時對應輸入共模電壓的最小值 (min)CMv ,令 V2.03 =CEV ,也就是 (min)CMv

7.0− V2.010 =+ ,解得 V1.9(min) −=CMv 。故介於 (min)CMv 與 (max)CMv 間之電

壓區間即為 ICMR。

6.3.3 小訊號分析

現在將差動放大器的直流成份排除並在兩輸入端間加入一小的差模輸

入訊號 dv ,為使 BJT 小訊號模型適用, dv 之大小必須滿足 BJT 之小訊

號近似,即 Tbe Vv << 。

圖 6-13(a)顯示一差動放大器之交流等效及其交流電流與電壓值,並假

設偏壓電流源之輸出電阻為無窮大。讀者若對圖 6-13(a)的分析有困難,

可嘗試先以 T-等效模型展開,見圖 6-13(b)。圖中顯示交流射極電流 ei 為

差動對電晶體操作在主動

區以及電流源正常工作所

能容忍輸入共模電壓的範

圍稱為輸入共模範圍。

為使 BJT 小訊號模型適

用,vd 之大小必須滿足

BJT 之小訊號近似,即 vbe

<< VT。

6.3 BJT 差動放大器 317

(a)

Q1 Q2

RC RCα ieα ie

ib Rid

vde

de r

vi2

=

Cec Riv α=2Cec Riv α−=1

β+=

1e

bii

−+

(b)

RC RC

re ie ievd

α ieα ie

ib

ib

E1 E2

C1 C2

B1 B2

−+re

圖 6-13 差動放大器的小訊號分析:(a)直接分析,(b)T-等效模型展開。

e

de r

vi2

= (6-56)

其中射極電阻 er 由偏壓電流源決定,其值為

Q

T

Q

T

EQ

Te I

VIV

IVr 2

2=== (6-57)

圖 6-13(a)所顯示的輸入差模電阻(input differential resistance) idR 是指

介於兩基極間由基極所看到之電阻。也就是,差動輸入訊號 dv 所看到的

電阻。由圖 6-13(b),

β

αα+

=−=−=1

)1( eeeeb

iiiii (6-58)

故輸入差模電阻 idR 為

)2)(1()1( e

e

d

b

did r

iv

ivR β

β+=

+==Δ (6-59)

這個結果只是再一次敘述大家所熟悉的電阻反射定律 (resistance reflection rule),也就是,介於兩基極間所看到電阻等於射極電路中之全

部電阻值乘以 )1( +β 。 一差動放大器的輸出電壓訊號可取差模輸出(differential output) ov 。即

輸出端介於兩集極間,

介於兩基極間所看到電阻

等於射極電路中之全部電

阻值乘以(β + 1)。

Rid 是指介於兩基極間由

基極所看到之電阻。

318 第 6 章 積體電路放大器

21 cco vvv −=Δ (6-60)

定義差模輸出時的差模增益 dA

d

cc

d

od v

vvvvA 21 −==Δ (6-61)

因為 CeCcc RiRiv α−=−=1 (6-62)

和 CeCcc RiRiv α+==2 (6-63)

因此差模輸出電壓 ov 為

Cecco Rivvv α221 −=−= ⎟⎠

⎞⎜⎝

⎛−=e

dC r

vR2

)2(α (6-64)

故差模增益 dA 為

Cme

C

d

od Rg

rR

vvA −=−==Δ 2

2α (6-65)

6.4 MOS 差動放大器

在過去的幾年中,MOS 電晶體已成為在類比 IC 設計上最看好的元件;

而 MOS 差動對則是 MOS IC 中最重要的建造方塊。

6.4.1 大訊號分析

圖 6-14(a)為一基本的 MOS 差動對。它是由兩個匹配的加強式 MOSFET 1Q 和 2Q 以及一定電流源 QI 所組成,而定電流源通常是以電流鏡的型態

出現。現在我們假設負載電路將使得兩個 MOSFET 在飽和(主動)區操

作,並且忽略輸出電阻和基體效應。因此汲極電流可表示成

211 )(

21

tGSnD Vvki −= (6-66)

222 )(

21

tGSnD Vvki −= (6-67)

以上二式可被改寫成

)(2 11 tGS

nD Vvki −= (6-68)

6.4 MOS 差動放大器 319

(a)

Q1 Q2

vG2

IQ

−VSS

RD RD

VDD

vG1 −

+vGS1

+vGS2

iD2iD1

−+ −+

(b)

0

電流

iD2

2QI

IQ

iD1

vD

n

Q

kI2

n

Q

kI2

圖 6-14 MOS 差動放大器:(a)電路圖,(b)汲極電流對差模輸入電壓 vD之轉換特性。

)(2 22 tGS

nD Vvki −= (6-69)

(6-68)式減去(6-69)式得

Dn

DD vkii221 =− (6-70)

其中 Dv 為差動輸入電壓,即 21 GGD vvv −=Δ 。又因為

QDD Iii =+ 21 (6-71)

將(6-70)式和(6-71)式合併可解得

)(

)2(122

2

1nQ

DDQn

QD kI

vvIkI

i −⎟⎠⎞

⎜⎝⎛+= (6-72)

)(

)2(122

2

2nQ

DDQn

QD kI

vvIkI

i −⎟⎠⎞

⎜⎝⎛−= (6-73)

將此二汲極電流對 Dv 作圖可得圖 6-14(b)之轉換曲線。其中在偏壓點,

0=Dv ,得 2/21 QDD IIi == 。此時, GSQGSGS Vvv == 21 。而其中

2)(21

2 tGSQnQ VVk

I−= (6-74)

再回到(6-72)式和(6-73)式,我們希望求出在完全切換發生時(例如,

QD Ii =1 和 02 =Di )所對應的 Dv 值。令 (6-72)式中右式的第二項等於

2/QI ,即可得出產生全電流切換所需之切換電壓 swDv | ,

320 第 6 章 積體電路放大器

Q1Q2

RD RD

vd

vd2vd1 id id

m

dd g

vi/2

=

−+

圖 6-15 MOS 差動放大器之小訊號分析。

n

QswD k

Iv

2| = (6-75)

可對照圖 6-14(b)橫軸顯示的電壓值。

6.4.2 小訊號分析

若以 T-等效觀點分析,見圖 6-15。其中汲極訊號電流為

)/2( m

dd g

vi = (6-76)

其中 mg2 代表 MOS 差動放大器中源極線上之全部電阻,小訊號分析的

結果如下:

Dd

mDdd RvgRiv21 −=−= (6-77)

Dd

mDdd RvgRiv22 +=+= (6-78)

若我們取差動型式輸出,即

dDmddo vRgvvv −=−=Δ 21 (6-79)

則差模增益為

Dmd

od Rg

vvA −==Δ (6-80)

例題 3

圖 6-16(a)為一 MOS 差動放大器,令 2A/Vm4=nk 和 V1=tV 。試計算

其中 2/gm代表 MOS 差動

放大器中源極線上之全部

電阻。

6.5 差動放大器的半電路觀念 321

(a)

Q1Q2

+10V

4mA

−10V

2.5kΩ2.5kΩ

(b)

Q1Q2

+10V

4mA

−10V

2.5kΩ2.5kΩ

2mA2mA

+2V

vCM +

−2V

圖 6-16 例題 3:(a)電路圖,(b)共模分析。

電路之輸入共模範圍。假設電流源正常操作所能容忍的最小電壓 (min)CSV

為 2V。

解:

參考圖 6-16(b),並代入(6-74)式,

2)1(4212 −××= GSQV

解得 V2=GSQV 。

當 1Q 和 2Q 正好離開飽和區時對應 (max)CMv 。令 tGD Vv ≤ ,即

15≤−CMv ,解得 V6(max) =CMv 。當電流源電晶體 3Q 正好離開飽和區

時對應 (min)CMv 。令

(min)CSSSGSQCM VVVv ≥+−

其中 (min)CMv 代表電流源正常操作時所能容忍的最小電壓。於是

(min)(min) CSSSGSQCM VVVv +−= V62102 −=+−=

6.5 差動放大器的半電路觀念

如圖 6-17 所示,加至差動放大器的輸入訊號 1v 和 2v 通常包含一共模成

份 cmv ,

322 第 6 章 積體電路放大器

Q1 Q2

RC RC

IQ

−VEE

vcm

v1

vcm

v2

VCC

2dv

−+

−+

−+

−+2dv

圖 6-17 差動放大器之輸入訊號 v1和 v2可分解為共模訊號 vcm及差模

訊號 vd之半的和與差。

2

21 vvvcm+

=Δ (6-81)

以及一差動成份 dv ,

21 vvvd −=Δ (6-82)

因此輸出訊號將是 dv 與 cmv 個別放大的合成,

⎟⎠⎞

⎜⎝⎛ ++−=

2)( 21

21

vvAvvAv cmdo (6-83)

或可寫為

cmcmddo vAvAv += (6-84)

由(6-81)和(6-82)二式可得

dcm vvv21

1 += (6-85)

dcm vvv21

2 −= (6-86)

即差動放大器上的任意輸入訊號 1v 和 2v 均可分解為共模訊號 cmv 與差模

訊號之半 2/dv 的和與差。見圖 6-17, 1v 由 cmv 和 2dv 兩電壓源串聯組成,

而 2v 則由 cmv 和 )2( dv− 串聯而成。

任意輸入訊號 v1和 v2均可

分解為共模訊號 vcm 與差

模訊號之半 vd/2 的和與

差。

6.5 差動放大器的半電路觀念 323

6.5.1 差模半電路

前面的分析所顯示的結果(例如(6-65)式)與共射放大器的結果相當類

似。事實上,差動放大器與共射放大器之間是對等的,見圖 6-18。首先

由圖 6-17 中先將共模成份 cmv 排除,即可得到 2/21 dvvv =−= ,見圖

6-18(a),稱之為純差模操作。 圖中顯示差模訊號 dv 是以互補的形式加上去的。也就是說,當 1Q 的基

極上升 2/dv 時, 2Q 的基極降低 2/dv 。在此,我們也考慮了偏壓電流源

的輸出電阻 oR 。由於電路的對稱性,在共射端點的交流訊號電壓 ev 將為

零。因此,這個電路等效於兩個共射放大器,見圖 6-18(b)。 綜合以上所述,吾人欲分析一差動放大器可直接利用圖 6-18(b)中之一

共射放大器,此共射電路稱為差模半電路(differential half-circuit)。因此,

差動放大器在差模輸出時的電壓增益即等於半電路之電壓增益

)2//(1 dc vv ,即

Cmd

cd Rg

vvA −==

)2(1 (6-87)

此結果與(6-65)式完全一致。若考慮 BJT 元件的輸出電阻 or ,則由圖

6-18(b)得知 dA 可修正為

)||( oCmd rRgA −= (6-88)

(a)

Q1

RC

vc1

Q2

RC

vc2

Ro IQ

0V

ve

(交流接地)

VCC

2dv

2dv−+−+

(b)

Q1

RC

vc1

2QI

Q2

RC

vc2

2QI2

dv2dv

−+ −+

圖 6-18 (a)純差模操作,(b)等效差模半電路。

由於電路的對稱性,在共

射端點的交流訊號電壓 ve

將為零。

差動放大器在差模輸出時

的電壓增益即等於半電路

之電壓增益 vc1/(vd/2)。

324 第 6 章 積體電路放大器

6.5.2 共模半電路

共模增益 重新考慮圖 6-17 並令 0=dv 可得出 cmvvv == 21 ,即純共模操作的電路,

見圖 6-19(a);在此,我們仍放入了電流源的有限輸出電阻 oR 。仔細觀

察本電路,發現在 1Q 和 2Q 匹配的前提下,本電路具對稱性,故輸出電

阻 oR 亦應以對稱方式 )2( oR 出現在半電路中,此半電路稱為共模半電路

(common-mode half-circuit)。注意,當兩半電路的射極直接相連時,左側

的 oR2 並聯右側的 oR2 又還原為圖 6-19(a)的輸出電阻 oR 。 由圖 6-19(b)可得 1Q 集極的共模輸出電壓 1cv

eo

Ccmc rR

Rvv+

−=21

α (6-89)

另一集極亦具有相同的共模訊號 2cv

eo

Ccmc rR

Rvv+

−=22

α (6-90)

定義差模輸出時的共模增益(common-mode gain) cmA

021 =−== ΔΔ

cm

cc

cm

ocm v

vvvvA (6-91)

共模排斥比 差動放大器的優值可以共模排斥比(common-mode rejection ratio,CMRR)

(a)

Q1

RC

vc1

Q2

RC

vc2

Ro IQ

VCC

−VEE

vcmvcm −+ −+

(b)

Q1

RC

vc1

Q2

RC

vc2

2QI

vcm2Ro 2Ro 2

QIvcm−+ −+

圖 6-19 (a)純共模操作,(b)等效共模半電路。

輸出電阻 Ro 以對稱方式

(2Ro)出現在共模半電路

中。

6.5 差動放大器的半電路觀念 325

來表示,定義為

cm

d

AAΔ=CMRR (6-92)

通常 CMRR 均以 dB 表示:

cm

d

AAlog20CMRR Δ= (6-93)

對於差模輸出而言

∞==Δcm

d

AACMRR (6-94)

以上的分析都是假設完美對稱,但實際的電路不可能是完美對稱,導

致即使在差模輸出組態下,共模增益也不為零。為解釋這個事實,考慮

完美對稱的情況但在集極電阻上有一不匹配的 CRΔ 。也就是 1Q 的集極電

阻是 CR ,而 2Q 的則為 )( CC RR Δ+ ,由此得

eo

Ccmc rR

Rvv+

−=21

α (6-95)

eo

CCcmc rR

RRvv+Δ+−=

2)(

2α (6-96)

因此輸出共模訊號為

eo

Ccmcco rR

Rvvvv+

Δ=−=221α (6-97)

且共模增益為

eo

C

cm

ocm rR

RvvA

+Δ==

2α (6-98)

顯示在實際情況下,共模增益 cmA 之值完全由差動對兩側集極電阻不匹

配之程度決定。 輸入共模電阻 輸入共模電阻(common-mode input resistance) icmR 的定義為輸入共模訊

號所看到的電阻,見圖 6-20(a)。圖 6-20(b)顯示一等效共模半電路,其

輸入電阻為 icmR2 。 由於輸入共模電阻實在太大了,因此必須將電晶體電阻 or 和 μr 同時考

慮進去並將 CR 忽略,如此可得

)]2||()[1(||2 ooeicm RrrrR ++= βμ (6-99)

Acm 之值完全由差動對兩

側集極電阻不匹配之程度

決定。

實際的電路不可能是完美

對稱,導致即使在差模輸

出組態下,共模增益也不

為零。

326 第 6 章 積體電路放大器

(a)

Q1 Q2

RC RC

Ricmvcm

VCC

2Ricm

IQRo

2Ricm−+

(b)

RC

vcm

2Ro

2Ricm

2QI

ro

−+

Q1

圖 6-20 (a)輸入共模電阻的定義,(b)由共模半電路輸入端所觀察到的輸入電阻為 2Ricm。

因此輸入共模電阻 icmR 為

)]2||([2

)1(2 ooeicm Rrrr

R ++= βμ (6-100)

6.6 主動負載差動放大器

在 IC 中,主動元件(電晶體)所佔的矽面積遠較中型或大型尺寸的電阻為

小,於是許多實際的 BJT 積體電路放大器均使用 BJT 負載來取代電阻性

負載 CR 。在此電路中,BJT 負載電晶體通常連接成一定電流源,因此對

放大電晶體而言,它具有一非常高電阻值的負載。故使用主動負載的放

大器其電壓增益遠較使用被動負載者為高。

6.6.1 BJT 主動負載差動放大器

主動負載的差動放大器顯示於圖 6-21(a)中。 1Q 和 2Q 形成差動對,並且

被定電流 QI 所偏壓。而負載電路則由 3Q 和 4Q 連接成電流鏡組態所組成。

在沒有輸入訊號加入時, 3Q 和 4Q 組成的電流鏡將強迫差動對兩側的直

流偏壓電流相等。因此,

主動負載的放大器其電壓

增益遠較使用被動負載者

為高。

6.6 主動負載差動放大器 327

(a)

Q1 Q2

vd

vo

Rout

α ie

Q4Q3

VCC

IQ

−VEE

2d

mev

gi =α

dme vgi =α2

2d

mevgi =α

e

de r

vi2

=

−+

(b)

vd Ridgmvd Rout

vo

−+

圖 6-21 主動負載的 BJT 差動放大器:(a)小訊號分析,(b)簡化的等效電路。

24321Q

EEEE

IIIII ==== (6-101)

當有一輸入差動訊號加入時, 1Q 和 2Q 將產生電流訊號 )2/( dm vg ,其

極性見圖 6-21(a)。而電流鏡將經由 4Q 的集極再產生此訊號電流

)2/( dm vg 。因此在輸出端產生一全部電流訊號 dmvg ,故輸出訊號電壓 ov

為 outdmo Rvgv = (6-102)

請對照圖 6-21(b)之簡化等效電路。其中 )1(2 β+= eid rR ,而 outR 為介於輸

出端與接地點間的全部電阻。在此 outR 為 2Q 和 4Q 兩者輸出電阻之並聯,

即 42 || ooout rrR = (6-103)

假設 ooo rrr == 42 ,

2o

outrR = (6-104)

故輸出電壓為

⎟⎠⎞

⎜⎝⎛=

2o

dmo

rvgv (6-105)

328 第 6 章 積體電路放大器

產生一電壓增益

omd

od rg

vvA

21==Δ (6-106)

代入 TCQm VIg /= 和 CQAo IVr /= ,我們得到

T

Ad V

VA21= (6-107)

對一給定的電晶體而言,此值為一常數。在標準情況下, V100=AV ,

得 000,4=omrg ,故增益約為 2,000。

6.6.2 CMOS 主動負載差動放大器

如圖 6-22 所示為一由 1Q 和 2Q 形成的差動對以及 3Q 和 4Q 形成的電流鏡

所共同組成的 CMOS 差動放大器。圖中顯示訊號電流 si 為

⎟⎠⎞

⎜⎝⎛=

2d

ms

vgi (6-108)

其中

tGSQ

QtGSQnm VV

IVVkg

−=−= )( (6-109)

輸出訊號電壓為

Q1 Q2

vd

vo

is

is

is

is

Q4

VDD

−VSS

IQ

−+

Q3

圖 6-22 CMOS 主動負載差動放大器。

6.6 主動負載差動放大器 329

doomooso vrrgrriv )||()||(2 4242 == (6-110)

對於

Q

A

Q

Aooo I

VIVrrr 2

242 ==== (6-111)

電壓增益等於

tGSQ

Aom

d

od VV

VrgvvA

−===Δ 2

1 (6-112)

6.6.3 威爾森電流鏡負載

圖 6-23 顯示一以威爾森電流鏡作為主動負載之差動放大器,此電路是以

pnp 威爾森電流鏡作為主動負載。 T-等效觀點之分析過程顯示於圖 6-23(a),簡化後的等效電路見圖

6-23(b),其中單端輸出 ov 為

(a)

Q1 Q2

vd

vo

Rout

Q6Q5

VCC

IQ

−VEE

ie

Q3 Q4

VBB

α ie α ie

VBB

Ro(down)

Ro(up)Q7

ei2α

ei2α

ei2α

−+

(b)

vd Rid α gmvd

Rout

vo

−+

圖 6-23 以威爾森電流鏡作為主動負載之差動放大器:(a)電路圖及分析,(b)簡化的等效電路。

選擇性閱讀

330 第 6 章 積體電路放大器

e

doutouteo r

vRRiv2

22 22 αα ==

doutm vRgα= (6-113)

於是差模電壓增益為

outmd

od Rg

vvA α==Δ (6-114)

其中由圖 6-23(a)可得 )()( || downoupoout RRR = (6-115)

根據本章例題 1,

oupo rR2)(β≅ (6-116)

而 )(downoR 的計算則需引用(6-28)式,即

)||()1( 24444)( oomodowno rrrgrR π++=

ooom rrrrg ββπ =≅≅ 44444 (6-117)

整理後可得電壓增益

omoomd

od rgrrg

vvA

3||

2βββα ≅⎟

⎠⎞

⎜⎝⎛== (6-118)

此式與(6-106)式比較後發現差模增益增加了 β)3/2( 倍。

例題 4

圖 6-24(a)為一串疊式(cascode)差動放大器,它是由共基(CB)組態串疊

在共射(CE)組態之上所組成的,試以半電路觀點計算差模輸出時之電

壓增益 dod vvA /Δ= ,其中 43 cco vvv −=Δ 。

解:

圖 6-24(b)顯示串疊差動組態之等效差模半電路,參考(6-117)式可

得 )]||(1[ 1333)( omodowno rrgrR π+≅

3333 )1( oo rr ββ ≅+≅ (6-119)

於是 )(|| downoCout RRR = (6-120)

再由諾頓等效之方法可得

6.7 偏移電壓和偏壓電流 331

(a)

Q1 Q2

vd

IQ

−VEE

Q3 Q4

VBB VBB

vc4

RC

vc3

RC

VCC

−+

(b)

Q1

Q3

vc3

RC

Rout

Ro(down)

21d

mvg

2dv

−+

圖 6-24 例題 4:(a)電路圖,(b)等效差模半電路。

doutmc vRgv 13 2α−= (6-121)

同理可得另一半電路的輸出電壓 4cv ,

doutmc vRgv 14 2α+= (6-122)

若取差模輸出 ov ,

doutmcco vRgvvv 143 α−=−=Δ (6-123)

於是,差模增益為

outmd

od Rg

vvA 1α−==Δ (6-124)

6.7 偏移電壓和偏壓電流

6.7.1 偏移電壓

如圖 6-25(a)所示,將差動放大器兩端接地,若差動對兩側完全匹配(也就是 1Q 和 2Q 完全一樣且 CCC RRR == 21 ),則 OV 將為零。但是實際電路不

選擇性閱讀

332 第 6 章 積體電路放大器

可能完全對稱,即使將兩輸入端接地亦不可能使 OV 為零,故我們稱此 OV為輸出直流偏移電壓(offset voltage)。通常將 OV 除以放大器之差動增益

dA ,即可得到輸入偏移電壓(input offset voltage) offV ,

d

Ooff A

VV Δ= (6-125)

顯然,若在差動放大器之兩輸入端間外加上一電壓 offV− ,則將產生一

大小相等極性相反的輸出電壓疊加在原有的 OV 之上使 OV 降至零,如圖

6-25(b)所示。 首先考慮負載不匹配所造成的偏移電壓 1offV ,

⎟⎠⎞

⎜⎝⎛ Δ

+⎟⎠

⎞⎜⎝

⎛−=221

CC

QCCC

RRI

VVα

(6-126)

⎟⎠⎞

⎜⎝⎛ Δ

−⎟⎠

⎞⎜⎝

⎛−=222

CC

QCCC

RRI

VVα

(6-127)

因此輸出電壓為

)(212 CQ

CCO RI

VVV Δ⎟⎠

⎞⎜⎝

⎛=−= α (6-128)

且輸入偏移電壓為

(a)

Q1 Q2

IQ

−VEE

VCC

VO− +

2C

CRR Δ−

2C

CRR Δ+

(b)

Q1 Q2

IQ

−VEE

VCC

− +

2C

CR

−2

CC

RR

Δ+

0V

Voff

圖 6-25 (a)將差動對之兩輸入端接地,由於負載不對稱,導致直流輸出 VO的產生,(b)將輸入偏移

電壓之極性反過來加至輸入端將使 VO降為零。

將 VO 除以放大器之差動

增益 Ad,即可得到輸入偏

移電壓 Voff。

6.7 偏移電壓和偏壓電流 333

CT

Q

CQ

d

CQ

off

RVI

RI

A

RI

V⎟⎠

⎞⎜⎝

⎛−

Δ⎟⎠

⎞⎜⎝

=Δ⎟

⎞⎜⎝

=

2

)(2

)(2

1 α

αα (6-129)

整理得

⎟⎠

⎞⎜⎝

⎛ Δ=

C

CToff R

RVV || 1 (6-130)

現在考慮另外一種情況,假設電晶體的射基接面面積不匹配,則此面

積的不匹配將造成 SI 的不匹配。考慮 0=Δ CR 且令 )2/(1 SSS III Δ+= ,

)2/(2 SSS III Δ−= ,注意 21 BEBE VV = ,則

⎟⎠

⎞⎜⎝

⎛ Δ+=

S

SQE I

III

21

21 (6-131)

⎟⎠

⎞⎜⎝

⎛ Δ−=

S

SQE I

III

21

22 (6-132)

因此輸出偏移電壓為

CS

SQO R

III

V ⎟⎠

⎞⎜⎝

⎛ Δ⎟⎠

⎞⎜⎝

⎛=2

α (6-133)

而所對應的輸入偏移電壓為

⎟⎠

⎞⎜⎝

⎛ Δ=S

SToff I

IVV || 2 (6-134)

根據機率理論可計算出全部輸入偏移電壓的標準差 (standard deviation)

offVσ 為

2221 offoffoff VVV σσσ += (6-135)

其中1offVσ 代表由於負載不匹配所產生的標準差和

2offVσ 代表由於電晶體

不匹配所產生的標準差,

CCoff RRTV V Δ= σσ1

(6-136)

SSoff IITV V Δ= σσ2

(6-137)

於是全部輸入偏移電壓的標準差為

22SSCCoff IIRRTV V ΔΔ += σσσ (6-138)

334 第 6 章 積體電路放大器

6.7.2 偏壓電流

在完美對稱之差動對中,兩輸入端載有相同的直流電流,其中

12/

21 +==

βQ

BB

III (6-139)

此即差動放大器之輸入偏壓電流。但放大器電路不對稱或兩電晶體的 β

不匹配將使這兩個電流不相等,其間之差值即為輸入偏移電流(input offset current) offI ,定義為

|| 21 BBoff III −=Δ (6-140)

令 )2/(1 βββ Δ+= , )2/(2 βββ Δ−= ,則

βββββ

21

11

12

21

121 Δ++

≅Δ++⎟⎠⎞

⎜⎝⎛= QQ

B

III

⎟⎠⎞

⎜⎝⎛ Δ−

+≅

ββ

β 21

)1(2QI

(6-141)

βββββ

21

11

12

21

122 Δ−+

≅Δ−+⎟⎠⎞

⎜⎝⎛= QQ

B

III

⎟⎠⎞

⎜⎝⎛ Δ+

+≅

ββ

β 21

)1(2QI

(6-142)

因此輸入偏移電流為

⎟⎠⎞

⎜⎝⎛ Δ

+=

ββ

β )1(2Q

off

II (6-143)

至於輸入偏壓電流(input bias current) BI 則被定義如下:

)1(22

21

+=+

=Δ βQBB

B

IIII (6-144)

例題 5

MOS 差動對中產生直流偏移電壓的三個因素為:負載電阻不匹配, nk值不匹配,和 tV 值不匹配。考慮圖 6-26 的差動對,注意兩個輸入均為

接地,所得到的 OV 即為輸出直流偏移電壓 OV 。試利用本節介紹內容分

別推導 MOS 差動對在以上三種情況之輸入偏移電壓。

6.7 偏移電壓和偏壓電流 335

Q1 Q2

IQ

−VSS

VDD

VO− +

RD2

ID2

RD1

ID1

圖 6-26 例題 5:附圖。

解:

首先,考慮 1Q 和 2Q 是完美匹配,但 1DR 和 2DR 間有一 DRΔ 的不匹

配;也就是,

⎟⎠⎞

⎜⎝⎛ Δ+=

21D

DDRRR (6-145)

⎟⎠⎞

⎜⎝⎛ Δ−=

22D

DDRRR (6-146)

則輸出電壓 OV 為

DQ

O RI

V Δ⎟⎠⎞

⎜⎝⎛=

2 (6-147)

將 OV 除以差模增益 DmRg 即可得對應的輸入偏移電壓:

D

D

tGSQn

tGSQn

Dm

DQ

d

Ooff R

RVVk

VVk

Rg

RI

AV

V Δ−

−=

Δ⎟⎠

⎞⎜⎝

==)(

)(21

2||

2

1

D

DtGSQ R

RVV Δ−= )(21 (6-148)

再者,考慮 1Q 和 2Q 間出現 nk 值不匹配效應,即

21

nnn

kkk Δ+= (6-149)

336 第 6 章 積體電路放大器

22

nnn

kkk Δ−= (6-150)

此時電流 1DI 和 2DI 將不再相等,經簡單推導可得

⎟⎠

⎞⎜⎝

⎛ Δ+=n

nQQD k

kIII

2221 (6-151)

⎟⎠

⎞⎜⎝

⎛ Δ−=n

nQQD k

kIII

2222 (6-152)

於是輸出電壓 OV 為

)()( 12 DDDDDDDDO RIVRIVV −−−=

Dn

nQDDD R

kkI

RII ⎟⎠

⎞⎜⎝

⎛ Δ=−=2

)( 21 (6-153)

故偏移電壓為

⎟⎠

⎞⎜⎝

⎛ Δ−==n

ntGSQ

d

Ooff k

kVVAVV )(

21

||2 (6-154)

最後,考慮介於兩元件臨界電壓之間有一 tVΔ 的不匹配,

21

ttt

VVV Δ+= (6-155)

22

ttt

VVV Δ−= (6-156)

則電流 1DI 為

2

1 221

⎟⎠⎞

⎜⎝⎛ Δ−−= t

tGSQnDVVVkI

2

2

)(21)(

21

⎥⎦

⎤⎢⎣

⎡−

Δ−−=tGSQ

ttGSQn VV

VVVk (6-157)

若 )(2 tGSQt VVV −<<Δ ,則

⎥⎦

⎤⎢⎣

⎡−

Δ−−≅)(

1)(21 2

1tGSQ

ttGSQnD VV

VVVkI (6-158)

同理,

⎥⎦

⎤⎢⎣

⎡−

Δ+−≅)(

1)(21 2

2tGSQ

ttGSQnD VV

VVVkI (6-159)

於是輸出電壓 OV 為

6.8 NMOS 放大器 337

DtGSQ

ttGSQnDDDO R

VVVVVkRIIV

)()()( 2

21 −Δ−−=−=

DttGSQn RVVVk Δ−−= )( (6-160)

故偏移電壓為

td

ooff V

AVV Δ==

||||

3 (6-161)

以目前的多晶矽閘極 MOS 技術來說, tVΔ 可以高達 mV2 。我們注

意到在 BJT 差動放大器中並沒有出現 tVΔ 。另外,將 MOS 差動對

中(6-148)式和(6-154)式的 offV 與 BJT 差動對(6-130)式和(6-134)式的

offV 作一比較,我們發現因為 2/)( tGSQ VV − 通常遠大於 TV ,所以在

MOS 差動對中的偏移電壓會較大。最後,由(6-148)式和(6-154)式可看出欲使 offV 小,則必須將 1Q 和 2Q 操作在 tGSQ VV − 很小的範圍內。

6.8 NMOS 放大器

圖 6-27(a)為一加強式負載 NMOS 放大器,其中負載 2Q 為一雙端元件。

因為滿足 tGD VV < ,故 2Q 必然工作於飽和區。如欲使本電路作為一放大

器使用,則 1Q 必須工作在飽和區。以下先進行小訊號分析;在第二部份,

我們將考慮基體效應對本電路所造成的衝擊。

6.8.1 小訊號分析

接下來我們將考慮圖 6-27(a)放大器之小訊號分析,圖 6-27(b)是將兩電

晶體以其等效電路模型取代後所得到的放大器等效電路,分析如下:

⎟⎠

⎞⎜⎝

⎛−= 212

11 ||||1oo

mgsmo rr

gvgv (6-162)

因為 igs vv =1 ,所以電壓增益為

outmm

oomi

oV Rg

grrg

vvA 1

2211

1|||| −=⎟⎠

⎞⎜⎝

⎛−==Δ (6-163)

其中輸出電阻 outR 為

2

211||||m

ooout grrR = (6-164)

若 1or 和 2or 均遠大於 )/1( 2mg ,則

338 第 6 章 積體電路放大器

Q1

Q2

vI

vO

VDD

(a)

(b)

gm1vgs1vi

MOSFET

+

vgs1 ro1

+

vgs2 gm2vgs2

ro2

MOSFET

+

vo

Rout

−+

G1D1 S2

S1 G2 D2

圖 6-27 加強式負載的 NMOS 放大器:(a)電路圖,(b)小訊號等效電路。

2

1

2

1

n

n

m

mV k

kggA −=−≅ (6-165)

6.8.2 基體效應

圖 6-28為一顯示基體連接方式的加強式負載放大器。回顧第 5章的MOS的基體效應方程式,

( )FFSBtot vVV φφγ 22 −++= (6-166)

如欲將上式以小訊號方式呈現,則必須在小訊號模型中加入電壓控制

電流源 bsmbvg ,其中基體轉導 mbg 為

χmSB

tmmb g

vVgg =⎟

⎞⎜⎝

⎛∂∂= (6-167)

觀察圖 6-28(a)發現, 1Q 不受基體效應的影響,但對 2Q 而言,其源極

與基體並未接在一起,故 2Q 將遭受基體效應的影響,其小訊號等效電路

見圖 6-28(b)。此等效電路與圖 6-27(b)的唯一差異在於多了一個控制電

流源 22 bsmb vg ,它代表 2Q 的基體效應。分析如下:

ioutmoombm

gsmo vRgrrgg

vgv 12122

11 ||||1||1 −=⎟⎠

⎞⎜⎝

⎛−= (6-168)

其中

2122

||||1||1oo

mbmout rr

ggR = (6-169)

對 Q2而言,其源極與基體

並未接在一起,故 Q2將遭

受基體效應的影響。

6.8 NMOS 放大器 339

(a)

VDD

Q2

Q1

vI

vO

−+ S1

B1

B2S2

(b)

gm1vgs1

vi

MOSFET

+

vgs1 gm2vgs2

ro2

MOSFET

+

vo

Rout

+

vgs2gmb2vbs2

+

vbs2

S1 B1 B2 D2G2

D1G1 S2

−+ ro1

圖 6-28 (a)顯示基體連接方式的加強式負載 NMOS 放大器,(b)考慮

Q2基體效應之後的小訊號等效電路。

假設 1or 和 2or 遠大於 2/1 mg ,則

22

1

mbm

mV gg

gA+

−≅ (6-170)

於是

χ+

−=1

1

2

1

m

mV g

gA (6-171)

此結果代表負載元件的基體效應使得增益下降了 )1/(1 χ+ 倍。注意,χ 為

一正值且正比於基體效應係數γ ,參考(5-106)式。因此,若 MOS 的基

體效應愈嚴重,即γ 愈大,則放大器增益的衰退將愈明顯。

負載元件的基體效應使得

增益下降了 1/(1 +χ)倍。

340 第 6 章 積體電路放大器

(a)

Q1

Q2

vI

vO

VDD

(b)

gm1vgs1vi

MOSFET

+

vgs1ro1

+gmb2vbs2

ro2

MOSFET

+

vo

Rout

vbs2−+

S1 B1 B2 D2

D1 S2G1 G2

圖 6-29 例題 6:(a)電路圖,(b)小訊號等效電路。

例題 6

圖 6-29(a)為一空乏式負載 NMOS 放大器,假設 1Q 和 2Q 均工作於飽和

區,試以小訊號分析推導其電壓增益表示式。註: 1Q 和 2Q 工作在飽和

區的這個前提非常重要,否則圖 5-24 的小訊號模型將崩潰且小訊號分

析毫無意義。

解:

若不考慮基體效應,則放大器的輸出電阻將由 1or 和 2or 的並聯來決

定。因此,放大器的電壓增益為

)||( 211 oomi

oV rrg

vvA −==Δ (6-172)

實際的增益將比(6-172)式所得者要小得多,主要是因為基體效應對

電晶體 2Q 的影響。特別值得注意的是,因 2Q 的基體接地,故 ov− 的

電壓訊號將出現在基體與源極之間。放大器的小訊號等效電路見圖

6-29(b),分析如下:

11212

11 ||||1gsoutmoo

mbgsmo vRgrr

gvgv −=⎟

⎞⎜⎝

⎛−= (6-173)

其中輸出電阻 outR 為

2

211||||mb

ooout grrR = (6-174)

6.9 CMOS 與 BiCMOS 放大器 341

6.9 CMOS 與 BiCMOS 放大器

6.9.1 共源放大器

在互補式金氧半(Complementary MOS,簡稱 CMOS)技術中同時具有 n-通道和 p-通道元件,因此可以做出許多不同的電路設計。更者,NMOS電路中造成特性嚴重衰退的基體效應在 CMOS 電路中可被消除。基本的

CMOS 共源放大器見圖 6-30(a)。這裡 2Q 和 3Q 是一組匹配的 p-通道元

件,並且連接成電流鏡的型式。注意當 ||22 tpSGSD Vvv −> 時, 2Q 將在飽和

區操作,其中 2SGv 為對應 REFI 的直流偏壓。此時 2Q 具有一高的輸出電阻

2or ,因此,若 1Q 在飽和區操作,依據圖 6-30(b)的小訊號等效電路,則

此放大器的小訊號電壓增益為

)||( 211 oomi

oV rrg

vvA −==Δ (6-175)

其中

( ) REFoxnREFnm ILWCIkg 11 22 μ== (6-176)

假設

REF

Aoo I

Vrr ||21 == (6-177)

所以將(6-176)式和(6-177)式代入(6-175)式最後得

(a)

Q1vI

vO

VDD

Q2Q3

−VSS

IREF

(b)

vi

MOSFET

+

vgs1 ro1 ro2

+

vo

Rout

G1 D1

S1

−+ gm1vgs1

圖 6-30 CMOS 共源放大器:(a)電路圖,(b)小訊號等效電路。

NMOS電路中造成特性嚴

重 衰 退 的 基 體 效 應 在

CMOS 電路中可被消除。

342 第 6 章 積體電路放大器

REF

AnV I

VkA

2||

−= (6-178)

因此電壓增益與偏壓電流的開根號成反比。

6.9.2 源極追隨器

圖 6-31 為一以 NMOS 電流鏡偏壓之源極追隨器及其小訊號等效電路。

分析如下:

⎟⎠

⎞⎜⎝

⎛+

⎟⎠

⎞⎜⎝

==Δ

121

1

121

1||||1

1||||

mboo

m

mboo

i

oV

grr

g

grr

vvA (6-179)

一般而言, 11/1 omb rg << 、 2or ,於是

11

1

11

1

111

mbm

m

mbm

mbV gg

ggg

gA+

=+

≅ (6-180)

因為 χ11 mmb gg = ,故

χ+

≅1

1VA (6-181)

由於 χ 值約 0.1 至 0.3,故主動負載源極追隨器之增益受到基體效應的影

響將降低 10%至 30%。

(a)

Q1vI

vO

VDD

Q2Q3

−VSS

IREF

(b)

vi

MOSFET

+

vgs1 ro1

gm1vgs1 gmb1vbs1

vo

ro2

Rout

+

vbs1

−+

B1

S1

G1 D1

圖 6-31 源極追隨器:(a)電路圖,(b)小訊號等效電路。

源極追隨器之增益受到基

體效應的影響將降低 10%至 30%。

6.9 CMOS 與 BiCMOS 放大器 343

輸出電阻 outR 為

11

211||1||||mbm

ooout ggrrR = (6-182)

若忽略 1or 和 2or ,則

)1(

111||1

11111 χ+=

+=≅

mmbmmbmout ggggg

R (6-183)

6.9.3 BiCMOS 放大器

在設計積體電路放大器或其他類比電路時,存在兩種基本的技術

BJT 和 CMOS。其中 BJT 的主要優點為轉導值高和頻寬大,而 MOS 的

主要優點為高輸入電阻,低輸入偏壓電流等。於是若吾人能整合這兩種

技術,預期將能實現出高表現的類比積體電路,此即 BiCMOS 技術。 串疊放大器 圖 6-32(a)為一 BiCMOS 串疊放大器,假設直流偏壓 BBV 值之選擇可使 2Q主動且 1Q 飽和,則電壓增益

outmi

o Rgvv

12α−= (6-184)

其中輸出電阻 outR 為

2221222 )||)(1( ooomoout rrrrgrR βπ ≅++= (6-185)

於是

221 omi

oV rg

vvA β−≅=Δ (6-186)

雙串疊放大器 圖 6-32(b)為 BiCMOS 雙串疊(double cascode)放大器,假設 1BBV 和 2BBV 之

選擇可使 1Q 和 3Q 飽和, 2Q 主動,則

outmi

oV Rg

vvA 1−≅=Δ (6-187)

其中輸出電阻 outR 為

))(()1( 22332333 oomoomoout rrgRrgrR β≅++= (6-188)

於是

22331 oommi

oV rrgg

vvA β−≅=Δ (6-189)

假設直流偏壓 VBB 值之選

擇可使Q2主動且Q1飽和。

假設VBB1和VBB2之選擇可

使 Q1和 Q3飽和,Q2主動。

344 第 6 章 積體電路放大器

(a)

Q1

Q2

vO

Rout

VBB

IQ

VCC

vI

(b)

Q1

Q2

RoutVBB1

IQ

VCC

vI

Q3

vOVBB2

Ro2

圖 6-32 BiCMOS 放大器:(a)串疊放大器,(b)雙串疊放大器。

例題 7

圖 6-33(a)為一 CMOS 共閘放大器電路,假設 PMOS 電流鏡與直流電源

BBV 之選擇可使 1Q 和 2Q 正常工作於飽和區。試推導:

(a)電壓增益表示式, (b)輸入電阻表示式。

(a)

Q1

vI

vO

VDD

Q2Q3

−VSS

IREF

VBB

(b)

vi

+

vgs1= vbs1 ro1gm1vgs1

gmb1vbs1

is

Rin

ro2

vo

−+

S1

G1B1 D1MOSFET

圖 6-33 例題 7:(a)電路圖,(b)小訊號等效電路。

練習題 345

解:

(a) 圖 6-33(b)為一 CMOS 共閘放大器之小訊號等效電路。由圖中可

看出 ibsgs vvv −== 11 (6-190)

並由節點D1 寫下一節點方程式:

021

1111 =+−++o

o

o

iobsmbgsm r

vr

vvvgvg (6-191)

(6-190)式代入(6-191)式可得

1

112

)(o

oiimbm

o

o

rvvvgg

rv −++= (6-192)

整理得

21

111

11

1

oo

ombm

i

oV

rr

rgg

vvA

+

++==Δ

)||(121

111 oo

ombm rr

rgg ⎟

⎞⎜⎝

⎛ ++= (6-193)

(b) 由節點可S1 可得

01

1111 =−+++o

iobsmbgsms r

vvvgvgi (6-194)

代入(6-190)式得

11

111

o

oi

ombms r

vvr

ggi −⎟⎠

⎞⎜⎝

⎛ ++= (6-195)

將(6-193)式代入上式得

ioo

o

ombms v

rrr

rggi ⎟

⎞⎜⎝

⎛+

−⎟⎠

⎞⎜⎝

⎛ ++=21

2

111 11 (6-196)

於是輸入電阻 inR 為

⎟⎠

⎞⎜⎝

⎛ +++

==Δ1

2

111

111

o

o

ombm

s

iin r

r

rggi

vR (6-197)

6.1 參考圖 6-1(a)之 BJT 電流鏡,分析令 mA1=REFI 且 1Q 和 2Q 匹配,試 § 練習題

346 第 6 章 積體電路放大器

計算下列三情況下之輸出電流 OI ,假設 V8.0=BEV 和 =OV 2V。 (a) 20=β 和 ∞=AV 。 (b) ∞=β 和 V10=AV 。 (c) 20=β 和 V10=AV 。

6.2 附圖所示為一基本電流鏡電路,試計算其輸出電流 OI 與輸出電壓

OV 。假設 50=β , V50=AV , V6.0)( =onBEV 。

VCC = +15V

Q1Q2

IREF IO

VO

8kΩ

−VEE= −15V

14.7kΩ

習題 6.2 附圖。

6.3 如附圖所示的電流源,假設 3Q 的射基接面面積為 1Q 和 2Q 的三倍。

令 ∞=β , V100=AV , V7.0=BEV 。試計算輸出電流 OI 值。

Q3

IO

VO = +10V

×3

R = 10kΩ

+15V

Q1Q2

習題 6.3 附圖。

6.4 附圖所示為一操縱電流電路,令 V7.0|| =BEV , ∞=β 和 ∞=AV 。計算 (a) 輸出電壓 OV 。 (b) 3Q 和 4Q 的集基接面逆偏值, 3CBV 和 4BCV ,以證實 3Q 和 4Q 確實工

練習題 347

作於主動區。

Q3

+10V

9.3kΩ

Q1

Q2

Q5 Q4

+VBC4

+

−VCB3

+10V

9.3kΩ VO

6kΩ

習題 6.4 附圖。

6.5 如附圖所示電路中,各電晶體均互相匹配。假設 V7.0=BEV ,

V2.0)( =satCEV , ∞=β ,試分別求

(a) Ω= k1R 。 (b) Ω= k2R 。 (c) Ω= k4R 時之 1I 、 2I 、 3I 之值。

Q5

Q6

Q3 Q4

+10V

6kΩ

Q7

Q8

RI2

−10V

I1

R

Q1 Q2

RI3

習題 6.5 附圖。

348 第 6 章 積體電路放大器

I1

I3

Q3

Q4

Q6 Q8

Q5

Q7

−10V

Q1 Q2

+10V

Q9 Q10

I4

18.6kΩ V4 V5

I5 10kΩ

11.5kΩ

I2

V2

2kΩ

V1

1kΩV3

習題 6.7 附圖。

6.6 參考圖 6-4 之韋勒電流源,令 =CCV 15V, 0=EEV , 70=β ,

V120=AV 。選擇適當的參考電流 REFI 及電阻 R 和 ER 使之滿足輸出

電流 A12μ=OI 和輸出電阻 Ω= M50outR 。

6.7 附圖所示為一積體電路中之操縱電流電路,假設 200=β ,

V2.0|| )( =satCEV 和 V7.0|| =BEV 。

(a) 試計算所有標示的分支電流以及節點電壓。 (b) 若電流 3I 通過的電阻值由 Ωk1 增加 15 倍變為 Ωk15 ,試問 3I 和 3V

分別為何?

6.8 參考圖 6-7 之 MOS 電流鏡,令 1Q 和 2Q 匹配, )/( LWCk OXnn μ= 2A/V40μ= , V8.0=tV , 1V05.0 −=λ , A10μ=REFI 。試問:

(a) 若希望 A10μ== REFO II ,則輸出電壓 OV 的值為何? (b) 當輸出電壓 OV 增加+2V 時,計算 OI 的變動值。 (c) 在進入三極區前所能容忍 OV 的最小值。

6.9 考慮一電流源偏壓之共射放大器,令 100=β 。計算 (a) 參考偏壓電流 REFI 。 (b) 1Q 的直流集極電壓 1CV 。 (c) 小訊號電壓增益 so vv / 。

練習題 349

VCC = +10V

Q3Q2

IREF

−VEE= −10V

5kΩ

+10V

Q1vs

vo

RC = 2.5kΩ

習題 6.9 附圖。

6.10 附圖所示的差動放大器,令 100=β 。 (a) 計算輸入差模電阻 idR 。 (b) 計算全級電壓增益 so vv / 。

6.11 附圖所示為一差動放大器,令所有 BJT 一致且 V7.0=BEV , 100=β和 V100=AV 。

Q1 Q2

Ridvs

IQ = 1mA

+15V

10kΩ

vo

− +10kΩ

150Ω150Ω

200kΩ

10kΩ

−+

習題 6.10 附圖。

350 第 6 章 積體電路放大器

−5V

Q4Q3

Q1

vo

v2v1

+5V

8kΩ8kΩ

18.6kΩ

Q2

習題 6.11 附圖。

(a) 令 V021 == vv ,計算 1Q 和 3Q 之集基接面電壓 CBV 以證實 1Q 和 3Q

確實工作於主動區。 (b) 計算單端輸出的差模增益 do vv / ,其中 21 vvvd −= 。 (c) 計算單端輸出的共模增益 cmo vv / 。 (d) 計算輸入差模電阻 idR 。 (e) 計算輸入共模電阻 icmR 。

6.12 附圖所示的差動放大器是利用一連接至負電源的電阻以建立一偏

壓電流。

Q1

vo

vB2

+5V

2kΩ2kΩ

−5V

Q2vB1

4.3kΩ

習題 6.12 附圖。

練習題 351

(a) 給定 2/1 dB vv = 和 2/2 dB vv −= ,其中 dv 為零平均值的小訊號,計

算差模增益之大小 |/| do vv 。 (b) 給定 cmBB vvv == 21 ,計算共模增益之大小 |/| cmo vv 。

(c) 若 )V(2sin002.02sin2.01 tftfv dcmB ππ +=

和 )V(2sin002.02sin2.02 tftfv dcmB ππ −=

其中 Hz60=cmf 和 kHz2=df ,計算 ov 。

6.13 附圖所示為一加強式負載 NMOS 放大器,假設 MOS 電晶體的參數

為 V1:1 =tVQ , m1001 μ=W , m5:1 μL , 2A/V100μμ =OXnC V1:2 =tVQ , m302 μ=W , m6:2 μL , 2A/V100μμ =OXnC

(a) 令 V3=GSV ,計算輸出直流電流 OI 。

(b) 計算電壓增益。

6.14 附圖所示為一 CMOS 放大器, NQ 和 PQ 匹配且 2A/V80μ=nk , =tV V2± , Ω= M5GR 。

(a) 計算直流偏壓電流 DQI 。

(b) 若 ∞=or ,計算由G 至D 的電壓增益。 (c) 若 V150|| =AV ,計算電壓增益和輸入電阻。

Q1

Q2

VDD

IO

vgs

VGS = 3V

−+

oOO vVv +=

習題 6.13 附圖。

352 第 6 章 積體電路放大器

IDQD

QP

QN

−5V

+5V

RG

Rin

G

習題 6.14 附圖。

Q2

Q1

VDD = 9V

vi

VGG−

+VDSQ

∞=CC

Rout

RL = 10kΩ

vo−+

習題 6.15 附圖。

(d) 欲使 NQ 和 PQ 操作在飽和區所允許的輸出訊號擺幅範圍。

6.15 附圖所示為一 MOS 源極追隨器。對 1Q 而言, 2mA/V2=nk 和

V1=tV ;對 2Q 而言, 2mA/V2.0=nk 和 V1=tV 。假設忽略 MOS 的

基體效應與通道長度調變效應,即 0=γ 和 0=λ 。 (a) 選擇一適當的 GGV 值使得 2Q 的 DSQV 值正好為 4V。

(b) 計算小訊號電壓增益 io vv / 。 (c) 計算輸出電阻 outR 。

6.16 附圖所示為一 BiCMOS 雙串疊電流鏡(double cascode current mirror),試以小訊號模型展開分析此電流鏡並寫出其輸出電阻 outR 之近似表

示式。

練習題 353

Q6 Q1

IREF

Q5 Q2

Rout

IO

VDD

Q4 Q3

習題 6.16 附圖。

Q1 Q2

Rid

IREF14.3kΩ

Q7

Q8

Q3 Q4

Q9

Ri 2

vo2 Q5

Q6

Rout

R6=3kΩR5=15.7kΩ

×2

vo3

Ri 3

Ri 4

R2=10kΩR1=10kΩ

vo1 −+

vd

IQ 1 IQ 2

−VEE= −15V

VCC= +15V

vo

R4=2.3kΩ

−+

R3=3kΩ

習題 6.17 附圖

354 第 6 章 積體電路放大器

6.17 附圖所示為一多級放大器電路,假設 100=β , (a) 計算電流鏡之偏壓電流 REFI 、 1QI 和 2QI 。

(b) 計算 1Q 至 6Q 各電晶體之射極偏壓電流。 (c) 計算正電源的供應功率 +SP 和負電源的供應功率 −SP 。

6.18 承 6.17 題之多級放大器電路,試進行交流小訊號分析並 (a) 計算 1Q 至 6Q 各電晶體之射極電阻 er 。 (b) 寫出各級輸入電阻 idR 、 2iR 、 3iR 和 4iR 之表示式。 (c) 寫出各級電壓增益之表示式,其中 do vvA /11 Δ , 122 / oo vvA Δ ,

233 / oo vvA Δ , 34 / oo vvA Δ (d) 寫出輸出電阻 outR 之表示式。

參考書目

1.P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer. “Analysis and Design of Analog Integrated Circuits,” 5th ed., John Wiley and Sons, New York, 2009.

2. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

3. T. C. Carusone, D. A. Johns and K. Martin, “Analog Integrated Circuit Design,” 2nd ed. John Wiley and Sons, New York, 2011.

4. B. Razavi, “Design of Analog CMOS Integrated Circuits,” 1st ed., McGraw-Hill Companies, Inc., 2001.

5. N. R. Malik, “Electronic Circuits; Analysis, Simulation, and Design,” Englewood Cliffs,

NJ: Prentice Hall, Inc., 1995.

6. D. A. Neamen, “Microelectronics: Circuit Analysis and Design,” 4th ed. McGraw-Hill, 2009.

7.R. T. Howe and C. G. Sodini, “Microelectronics: An Integrated Approach,” Prentice-Hall International, Inc., 1996.

8. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

9. Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices,” 2nd ed. Cambridge University Press, 2009.

10.張文清,“SPICE 電子電路模擬”,四版,台

北鼎茂圖書,2009。

頻率響應

在 4、5 兩章中所介紹的各類電容耦合式放大器分析均

僅限於中頻範圍。透過本章,讀者可得知當操作頻率

延伸至低頻或高頻時放大器的電路表現。在本章,除

了可經由電路理論推導出低頻或高頻轉換函數外,亦

可利用時間常數法等技巧快速破解一些較複雜的電

路。最後,讀者須注意直接耦合式差動放大器為一直

流放大器,第 6 章的分析僅適用於中低頻範圍,其高

頻衰減行為亦將在本章討論。 7.1 基本概念

7.2 電流增益的高頻響應

7.3 共源放大器

7.4 共射放大器

7.5 共基放大器

7.6 組合式放大器

7.7 差動放大器

7.1 基本概念 357

7.1 基本概念

7.1.1 增益頻寬乘積

圖 7-1 所示,放大器增益在一寬廣的中頻帶(midband)範圍內幾乎

為一常數。主要因為在此頻率範圍內,所有電容(耦合,旁路和電

晶體的內部電容)之效應均可忽略,也就是電容不會出現在增益計算的過

程中。然而,在頻譜的高頻端,由於元件的內部電容效應將導致增益下

降。另一方面,在頻譜的低頻端處由於耦合和旁路電容不再被視為完美

短路,因此使得增益下降。注意,中頻帶的範圍通常被兩個頻率 Lω 和 Hω所定義,而 Lω 和 Hω 代表當增益掉到中頻帶增益之下 3dB 處所對應的頻

率。在此我們定義放大器的頻寬(bandwidth)為

LH ωω −=BW (7-1)

因為 HL ωω << ,所以頻寬可近似為

Hω≅BW (7-2)

而放大器的優值為增益頻寬乘積(gain-bandwidth product),定義為

HMA ω=GB (7-3)

其中 MA 代表中頻帶增益的大小。

BW

3dB

ωL ωH ω

AM20log

)dB()(sAV

圖 7-1 一個典型電容耦合放大器的頻率響應。

在頻譜的高頻端,由於元

件的內部電容效應將導致

增益下降。在頻譜的低頻

端處由於耦合和旁路電容

不再被視為完美短路,因

此使得增益下降。

選擇性閱讀

358 第 7 章 頻率響應

7.1.2 增益函數的通式

放大器增益作為複數頻率 s 的一個函數可被表示成一般型式

)()()( sHsLAsA MV = (7-4)

其中 )(sL 和 )(sH 分別代表考慮在低頻帶和高頻帶處增益與頻帶有關的

函數。對 Lωω >> , 1)( ≅sL ;同理,對於 Hωω << , 1)( ≅sH 。表現放

大器低頻響應的函數 )(sL 所具有的一般型式為

)())(()())(()(

21

21

LPmLPLP

LZmLZLZ

sssssssL

ωωωωωω

++++++

=LL

LL (7-5)

其中 1LPω , 2LPω ,…, LPmω 為 m 個低頻極點所對應的頻率,而 1LZω ,

2LZω ,…, LZmω 則為 m 個低頻零點所對應的頻率。注意,當 s 趨近於無

窮大時(事實上是趨近於中頻帶頻率), )(sL 趨近於 1。 表現放大器高頻響應的函數 )(sH 所具有的通式為

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +=

HPnHPHP

HZnHZHZ

sss

sss

sH

ωωω

ωωω

111

111)(

21

21

LL

LL

(7-6)

其中 1HPω , 2HPω ,…, HPnω 為 n 個高頻極點的頻率,且 1HZω , 2HZω ,…,

HZnω 則為 n 個高頻零點的頻率。注意當 s 趨近 0 時(事實上是趨近於中頻

帶頻率), )(sH 趨近於 1。

在分析放大器等效電路時,假設耦合和旁路電容可視為近似短路和電

晶體模型的內部電容可視為近似開路,即可進行中頻小訊號分析,並且

計算出中頻帶增益 MA 。

在放大器低頻等效電路的分析過程中,置入耦合和旁路電容,但是假

設電晶體模型中的內部電容為開路,即可求出低頻轉換函數 )(sAVL ,其

)()( sLAsA MVL ≅ (7-7)

如欲求得高頻轉換函數 )(sAVH ,在分析放大器等效電路時,必須考慮

電晶體模型中的內部電容,至於耦合和旁路電容則可以假設短路。此時

)(sAVH 可表示為

)()( sHAsA MVH ≅ (7-8)

L(s)和 H(s)分別代表考慮

在低頻帶和高頻帶處增益

與頻帶有關的函數。

7.1 基本概念 359

7.1.3 低頻分析

主極點存在 在許多情況下,零點均位於非常低的頻率(遠小於 Lω ),以至於在低 3dB頻率(lower 3dB frequency) Lω 的決定上並不重要。同時,通常會存在一

個極點(例如 1LPω )其頻率較其他極點為高。因此對於接近於中頻帶的頻

率而言, )(sL 可以近似為

)/(1

1)(11 ss

ssLLPLP ωω +

=+

≅ (7-9)

此即為一階高通網路的轉換函數。在此情況下,放大器的低頻響應即被

位於 1LPs ω−= 的極點所主宰且其低 3dB 頻率近似等於 1LPω ,

1LPL ωω ≅ (7-10)

若這個主極點近似(dominant-pole approximation)成立的話,那麼決定 Lω就變成一件非常簡單的事情;否則必須對 )( ωjL 求出完整的波德圖再計

算 Lω 。一般承認當最高頻率的極點與次高頻率的極點或零點差距在四倍

以上時即可使用主極點近似。 主極點不存在 若主宰的低頻極點不存在時,則對 Lω 可推導出一近似公式。為簡化起

見,考慮在低頻端有兩個極點和兩個零點;也就是,

))(())(()(

21

21

LPLP

LZLZ

sssssL

ωωωω

++++= (7-11)

將 ωjs = 代入且取其大小的平方值為

))(())(()( 2

222

12

22

221

22

LPLP

LZLZjLωωωωωωωωω

++++= (7-12)

由定義知,在 Lωω = 時, 21=L ,因此可解得一近似公式,

22

21

22

21 22 LZLZLPLPL ωωωωω −−+≅ (7-13)

此外,注意在 (7-13)式中,若其中有一極點 (例如 1LPω )為主宰,則

21 LPLP ωω >> , 1LZω , 2LZω ,此時(7-13)式簡化為(7-10)式。

7.1.4 高頻分析

主極點存在 在許多情況下,零點通常位於非常高或甚至無窮大的頻率,以至於它在

當最高頻率的極點與次高

頻率的極點或零點差距在

四倍以上時即可使用主極

點近似。

360 第 7 章 頻率響應

高 3dB 頻率(upper 3dB frequency) Hω 的決定上並不重要。若有一高頻極

點(例如 1HPω )其頻率遠較其他極點為低,則放大器的高頻響應將被此極

點所主宰,且函數 )(sH 可近似為

1

1

1)(

HP

ssH

ω+

≅ (7-14)

此即為一階低通網路的轉換函數。因此,若有一主宰的高頻極點存在

時,則 Hω 的決定將可大為簡化:

1HPH ωω ≅ (7-15)

主極點不存在 若一主宰的高頻極點並不存在時,亦可針對 Hω 推導出一近似公式。現

考慮在高頻端僅有兩個極點,即

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +=

21

11

1)(

HPHP

sssH

ωω

(7-16)

令在 Hωω = 時, 21)( =HjH ω ,即

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+=

2

2

2

1

112HP

H

HP

H

ωω

ωω (7-17)

即上述一元四次方程式即可求出 Hω 。不過,為了簡單起見,建議讀者

可直接引用以下 Hω 之近似表示式:

22

21

111

HPHP

H

ωω

ω+

≅ (7-18)

7.1.5 開路時間常數法

若放大器轉換函數的極點和零點可以很容易地求得,則我們可以利用前

面所述的方法求出 Lω 和 Hω 。但是在許多情況,要決定極點和零點並不

是一件簡單的事情。此時可利用下面介紹的方法求出 Lω 和 Hω 的近似值。 首先考慮高頻響應,(7-6)式的函數 )(sH 可被表示成另一種型式

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +=

HPnHPHP

HZnHZHZ

sss

sss

sH

ωωω

ωωω

111

111)(

21

21

L

L

若有一高頻極點 ( 例如

ωHP1)其頻率遠較其他極

點為低,則放大器的高頻

響應將被此極點所主宰。

7.1 基本概念 361

nn

nn

sasasasbsbsb

++++++++

=L

L2

21

221

11 (7-19)

其中係數 a 和 b 分別對應到相關的極點和零點頻率。特別值得注意的是

係數 1a

HPnHPHP

aωωω

111

211 +++= L (7-20)

經由網路理論的分析證明出 1a 可由高頻等效電路中考慮不同的電容而

求出;但是當我們在求某一電容 iC 的貢獻時,我們令所有其他的電容為

零以及輸入訊號源為零,並決定此時由 iC 所看到的電阻 ioR ,見圖 7-2(a)

和(b)。接下來對電路中的所有電容重複此過程,見圖 7-2(c)和(d),最後

把所有個別的時間常數相加起來即可計算出 1a 的值。

eff

n

iioi RCa τΔ

===∑

11 (7-21)

其中我們假設在高頻等效電路中共有 n個電容,而這些有效時間常數 effτ

稱為開路時間常數(open-circuit time constants)。

C1

C2

C3

(a)

N

(b)

C1 N

R1o

(c)

C2

N

R2o

(d)

C3N

R3o

圖 7-2 開路時間常數法的圖解說明。

如欲求某一電容Ci的貢獻

時,我們令所有其他的電

容為零以及輸入訊號源為

零,並決定此時由 Ci所看

到的電阻 Rio。

362 第 7 章 頻率響應

決定 1a 的這方法是精確的;而近似的發生是在於利用 1a 求 Hω 的時候。

現在我們特別考慮零點不主宰且有一極點(例如 1HPω )為主極點,則由

(7-20)式

1

11

HP

≅ (7-22)

其高 3dB 頻率將近似等於 1HPω ,因此

=

≅ n

iioi

H

RC1

1ωnonoo RCRCRC +++

=L2211

1 (7-23)

這裡必須指出在一複雜電路中,通常我們並不知道是否有主極點存在。

無論如何,即使沒有主極點存在,利用上式決定 Hω 將可得到不錯的結

果。

7.1.6 短路時間常數法

以下我們將介紹如何利用短路時間常數來求低 3dB 頻率 Lω 。(7-5)式的

函數 )(sL 可以另一種型式出現

)())(()())(()(

21

21

LPmLPLP

LZmLZLZ

sssssssL

ωωωωωω

++++++=

L

L

01

11

011

1

cscscsdsdsds

mm

m

mm

m

++++++++

= −−

−−

L

L (7-24)

其中 c 和 d 為分別對應相關極點和零點頻率之係數。在此我們特別注意

係數 1−mc

LPmLPLPmc ωωω +++=− L211 (7-25)

經由網路分析證明出 1−mc 可由分析放大器低頻等效電路並且考慮不同的

電容而求出;但是當我們在求某一個電容的貢獻時,必須令其他電容為 無窮大(即短路)。也就是說,若考慮電容 iC 的貢獻,我們將所有其他的

電容短路並且把輸入訊號降為零,而後求出由 iC 所看到的電阻 isR 。接

下來對電路中的所有電容重複此過程即可由下式計算出 1−mc 的值

∑=

− =m

i isim RC

c1

11 (7-26)

其中假設在低頻等效電路中共有 m 個電容。 現在我們特別考慮零點不主宰且有一極點(例如 1LPω )為主宰,也就是

說其頻率 1LPω 遠高於(至少四倍以上)其他極點或零點的頻率。則由(7-25)

若考慮電容 Ci的貢獻,我

們將所有其他的電容短路

並且把輸入訊號降為零,

而後求出由Ci所看到的電

阻 Ris。

7.2 電流增益的高頻響應 363

11 LPmc ω≅− (7-27)

且低 3dB 頻率將近似等於 1LPω ,因此

∑=

≅m

i isiL RC1

1ωmsmss RCRCRC

111

2211

+++= L (7-28)

7.2 電流增益的高頻響應

在電晶體的資料簿中,通常不會指定 πC 的值,反而是共射電流增益( feh )

對頻率的關係會給定。為了決定 πC 和 μC ,首先我們將使用混合π 模型

推導 feh 對頻率的表示式。

7.2.1 電流增益函數 在推導電流增益 feh 之前,先了解 feh 之定義。根據電路學中 h-參數理論

(可參閱下一章),集極電流 cI 可表為

ceoebfec VhIhI += (7-29)

於是

0=

Δ=ceVb

cfe I

Ih (7-30)

feh 簡稱共射短路電流增益(common-emitter short-circuit current gain)。

根據第 4 章雙載子電晶體的高頻模型可得圖 7-3(a),於是短路集極電

流 cI 為

πμ VsCgI mc )( −= (7-31)

假設 μωCgm >> ,即忽略流經 μC 之電流,則

⎥⎥⎦

⎢⎢⎣

+=≅

)(1

μπππ CCs

rIgVgI bmmc (7-32)

於是

)(1 μππ

π

CCsrrg

II

h m

b

cfe ++

== (7-33)

因此

選擇性閱讀

364 第 7 章 頻率響應

(a)

+

gmVπ

C

E

BJT

roVπ Cπrπ

B'

0

IcCμ IcB

E

Ib

rb

Ib

sCμVπ

(b)

(dB)feh3dB

ω

−20dB/decade

ωTωHP0dB

20logβ0

圖 7-3 電流增益之高頻響應:(a)小訊號等效電路,(b)高頻響應。

HP

fe sh

ω

β

+≅

10 (7-34)

即 feh 具有一單一極點響應(single-pole response)。其中低頻電流增益 0β

πβ rgm=0 (7-35)

和 3dB 頻率 HPω 為

)(

1

μππ

ωCCrHP +

= (7-36)

7.2.2 單位增益頻寬 圖 7-3(b)顯示 feh 的大小波德圖。注意,圖中順著斜率為−20dB/decade 的

7.3 共源放大器 365

直線而下將出現一頻率,此時 feh 掉至 1,這個頻率稱為單位增益頻寬

(unity gain bandwidth) Tω ,其中

HPT ωβω 0= (7-37)

因此

μπ

ωCC

gmT +

= (7-38)

或可表為

)(2 μππ CC

gf m

T += (7-39)

一般使用者常以上式決定電容 πC 之值:

μπ πC

fgC

T

m −=2

(7-40)

若 μπ CC >> ,則 Tf 可近似表為

ππ C

gf mT 2

≅ (7-41)

7.3 共源放大器

本節將分析一古典電容耦合式(capacitively-coupled)共源放大器之頻率

響應,見圖 7-4。分兩大部份介紹高頻響應和低頻響應。

VDD

CC1

RG1 RD

R

Rin RSRG2 CS

RL

Vo

CC2

Vi −+

圖 7-4 古典電容耦合式共源放大器。

366 第 7 章 頻率響應

(a)

S

MOSFET

roVi gmVgs

Cgd

+VgsCgsRin

GR

+

Vo

D

−+ RD RL

(b)

gmVgs

+

VgsRin

GR D

− + I

R2o

Vt

gst VV +

DR ′

DR' )( LDo RRr=

圖 7-5 (a)共源放大器之高頻等效電路,(b)以開路時間常數法決定 R2o。

7.3.1 高頻響應

本小節將介紹三種分析高頻響應的方法,分別為開路時間常數法,米勒

定理法,與精確高頻轉換函數法。圖 7-4 之共源放大器其高頻等效電路

見圖 7-5(a),其中 21 GGin RRR = 。為方便起見,我們定義輸出端三電阻並

聯之等效值為 DR′ ,其中 LDoD RRrR =′ 。

開路時間常數法 現在我們將利用開路時間常數來決定 Hω 。首先令 0=gdC 和訊號產生器

iV 短路,可求出由 gsC 所看到的電阻 oR1 。由圖 7-5(a)得知

Tino RRRR Δ==1 (7-42)

因此, gsC 的開路時間常數為

7.3 共源放大器 367

ogs RC 11 =τ (7-43)

再令 0=gsC 和訊號產生器 iV 短路,求出由 gdC 所看到的電阻 oR2 。由圖

7-5(b)之節點D 可得

D

tT

Dm

D

tgsgsm R

VIRR

gR

VVVgI

′+−⎟

⎞⎜⎝

⎛′

+=′+

+= )(1 (7-44)

於是

TDmDt

o RRgRI

VR )1(2 ′++′==Δ (7-45)

因此, gdC 的開路時間常數為

ogd RC 22 =τ (7-46)

由以上結果可得有效時間常數 effτ 為

21 τττ +=eff (7-47)

因此,高三分貝頻率 Hω

eff

H τω 1≅ (7-48)

這種開路時間常數具有一很重要的優點:因為它告訴電路設計者在放

大器頻率響應的決定上那些電容是重要的,而且可以明顯看出每個不同

電容對有效時間常數(effective time constant) effτ 的相對貢獻。例如,由本

例中我們看出在 Hω 的決定上 gdC 為一主宰電容。

米勒定理 利用米勒定理(Miller’s theorem)可將 gdC 以一介於閘極和接地的等效輸

入電容取代,這種方法的好處就是可以很明顯地看出米勒效應所造成的

高頻限制。因為通常 gdC 很小,所以經過它的電流將遠小於控制源 gsmVg

的電流。因此在決定輸出電壓 oV 時,我們忽略流經 gdC 的電流,得

Dgsmo RVgV ′−≅ (7-49)

因此米勒效應之增益 K 為

Dmgs

o RgVVK ′−≅=Δ (7-50)

於是根據米勒定理可得輸入端之米勒電容 MC 為

)1( DmgdM RgCC ′+= (7-51)

圖 7-6 為利用米勒定理得到的等效電路,可看出輸入電路就是一個一

由本例中我們看出在ωH

的決定上 Cgd 為一主宰電

容。

368 第 7 章 頻率響應

+

VogmVgs

Cgs

+

Vgs

RT

RRRV

in

ini + )1( Dmgd RgC ′+−+

⎟⎟⎠

⎞⎜⎜⎝

⎛+ 11

Dmgd Rg

C'

'DR

圖 7-6 利用米勒定理分析共源放大器之高頻響應。

階的低通濾波器,其時間常數由全部輸入電容 TC 為

)1( DmgdgsT RgCCC ′++= (7-52)

和有效產生器電阻

inT RRR = (7-53)

所決定。這個一階電路置入了一主宰的高頻極點(dominant high- frequency pole),也就是決定了共源放大器高頻響應。因此高 3dB 頻率為

TT

H RC1≅ω (7-54)

最後,我們將高頻增益近似表示為

H

MVH sAsA

ω+

≅1

1)( (7-55)

上式中 MA 代表中頻帶增益,

in

inDmM RR

RRgA+

′−= (7-56)

由以上的分析我們注意到回授電容 gdC 在共源放大器高頻響應的決定

上扮演一非常重要的角色。因為在 gdC 兩端的電壓比值為 Dm Rg ′− ,這是

一個非常大的量(幾乎等於中頻帶增益),所以 gdC 將在放大器的輸入端產

生一大電容 )1( Dmgd RgC ′+ ,這就是米勒效應(Miller effect)。由此可知,

欲增加放大器的高 3dB 頻率,吾人必須降低 Dm Rg ′ 或訊號源電阻。前者

將使中頻帶增益下降,而後者的改進也很有限。此時即可使用一些不受

回授電容 Cgd 在共源放大

器高頻響應的決定上扮演

一非常重要的角色。

7.3 共源放大器 369

米勒效應影響的電路組態,如串疊電路和其他特殊的寬頻放大器(wideband amplifiers),這些將在後面討論。 精確高頻轉換函數 前面以米勒定理分析並決定 Hω 所用的近似方法有一個重要的基本假

設:本電路存在一主宰的高頻極點。為了證實這一點,我們將推導共源

放大器的高頻轉換函數。 如圖 7-7 所示,在G 處寫下一節點方程式

)( ogsgdgsgsT

gsi VVsCVsCRV

RV

−++= (7-57)

於D 處再寫一節點方程式

D

ogsmogsgd R

VVgVVsC′

+=− )( (7-58)

由(7-57)式和(7-58)式中聯立消去 gsV 即得到精確轉換函數 )(sAVH ,

DTgdgsTT

DgdDmgdgs

gdmM

i

oVH

RRCCsRRRCRgCCs

CgsA

VVsA

′+⎥⎦

⎤⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛ ′+′+++

⎥⎦

⎤⎢⎣

⎡−

==2)1(1

)(1

)(

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +

−=

21

11

1

HPHP

HZM ss

s

A

ωω

ω (7-59)

因此,放大器具有一零點,其頻率為

S

MOSFET

gmVgs

Cgd

+VgsCgsRT

G

+

Vo

D

RVi

DR'

圖 7-7 圖 7-4 共源放大器高頻等效電路的另一種表示法。

370 第 7 章 頻率響應

gd

mHZ C

g=ω (7-60)

以及兩個極點,其頻率由分母多項式決定,其中

分母多項式 ⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +=21

11HPHP

ssωω

21

2

21

111HPHPHPHP

ssωωωω

+⎟⎠

⎞⎜⎝

⎛ ++= (7-61)

假設 21 HPHP ωω << ,則(7-61)式可近似表示成

分母多項式21

2

1

1HPHPHP

ssωωω

++≅ (7-62)

由(7-59)式和(7-62)式中比較分母 s 項的係數得到極點 1HPω

TTDgdDmgdgs

HP RRRCRgCC )]()1([1

1 ′+′++=ω (7-63)

現在就(7-59)式和(7-62)式中比較分母 2s 項係數並代入 1HPω 之值即可解

出 2HPω ,

Dgdgs

TDgdDmgdgsHP RCC

RRCRgCC′

′+′++=

)()1(2ω (7-64)

上式代入 1>>′Dm Rg 和 TD RR <<′ 後, 2HPω 可近似表示成

gs

mHP C

g≅2ω (7-65)

顯示 2HPω 相當的高。與(7-60)式比較發現,若 gdgs CC = ,則

ZHP ωω ≅2 (7-66)

驗證網路理論 最後,由(7-61)式,

分母多項式21

2

21

111HPHPHPHP

ssωωωω

+⎟⎟⎠

⎞⎜⎜⎝

⎛++=

2211 sasa ++= (7-67)

比較(7-59)式發現

21

111

HPHP

aωω

+=Δ

TTDgdDmgdgs RRRCRgCC )]()1([ ′+′++= (7-68)

7.3 共源放大器 371

再回顧(7-21)式

eff

n

iioi RCa τΔ

=

==∑1

1 (7-69)

以及先前由開路時間常數法計算 effτ 之結果,

21 τττ +=eff

[ ]TDmDgdTgs RRgRCRC )1( ′++′+= (7-70)

讀者可明顯看出(7-68)式之 1a 與(7-70)式的 effτ 確實完全相同。

7.3.2 低頻響應

本節中我們將分析一古典的電容耦合共源放大器的低頻響應,見圖

7-4。主要考慮兩個耦合電容及一個旁路電容的效應,分別敘述如下。 輸入耦合電容的效應 現在我們將由訊號源出發逐步往負載前進,希望能求出圖 7-4 電路的低

頻增益函數。首先計算介於閘極與接地間的訊號電壓 gV ,

1

1

Cin

inig

sCRR

RVV

++= (7-71)

其中 )( 21 GGin RRR = 。因此,由輸入至閘極的轉換函數為

])(1[ 1 RRCs

sRR

RVV

inCin

in

i

g

+++=

1LPin

in

ss

RRR

ω++= (7-72)

此即一高通函數,並顯示出 1CC 置入一頻率為零的零點和一頻率

1LPω 的極點,

)(

1

11 RRC inC

LP +=ω (7-73)

注意輸入電路為一高通 STC 網路,其時間常數等於 1CC 乘以由 1CC 所看

到的全部電阻;而此時間常數的倒數即為極點頻率。 旁路電容的效應 接下來計算源極電流 sI 並參考圖 7-8(a),

Sm

gs Zg

VI

+=

)1( (7-74)

時間常數等於 CC1 乘以由

CC1 所看到的全部電阻。而

此時間常數的倒數即為極

點頻率。

372 第 7 章 頻率響應

ZS

Is

mg1

Is

D

RD RL

Vo

CC2

S

G

+

Vg

MOSFET

(a) (b)

−+ RL

RD

IsRD

CC2

Vo

圖 7-8 分析共源放大器的低頻響應:(a)考慮旁路電容的效應,(b)考慮

輸出耦合電容的效應。

其中 SZ 為 SR 和 SC 之並聯,

S

SS sCRZ 1= (7-75)

注意,我們利用了介於閘極和源極間的等效電阻等於 mg1 這個性質;因

此,在源極所觀察到介於閘極和接地間的全部阻抗等於 mg1 串聯 SZ ( SZ 等於 SR 和 SC 的阻抗並聯)。(7-74)式整理可得

2)1(

)1(

LP

LZm

SSm

SSm

g

s

ssg

CRgsRCsg

VI

ωω

++=

+++= (7-76)

顯示 SC 置入一實數零點和一實數極點。實數零點頻率 LZω 為

SS

LZ RC1=ω (7-77)

而實數極點的頻率為

)]1([

12

mSSLP gRC

=ω (7-78)

可看出 LZω 比 2LPω 小。 接著我們由觀念來看以上的結果。首先注意 SC 置入一零點,此零點的

位置是在使 SZ 無窮大所對應的 s值上( SS CRs 1−= );因為無窮大的 sZ 將

使得 sI 以及 oV 為零。另一方面,將 SC 乘以由 SC 所看到的電阻得一時間

CS置入一零點,此零點的

位置是在使 ZS 無窮大所

對應的 s 值上。

7.3 共源放大器 373

常數,而此時間常數的倒數即為極點頻率。至於欲計算 SC 所看到的電

阻,我們把訊號源接地(注意網路的極點或稱自然模式是與刺激源無關的)然後抓住 SC 的兩端,則由 SC 所看到的電阻即為 SR 並聯 mg1 。

輸出耦合電容的效應 一旦決定 sI 之後,我們就可以利用圖 7-8(b)的等效電路計算輸出電壓。

注意圖 7-8(b)為應用電源轉換定理之後的等效輸出電路。由此圖得

)(1

)(2 DLC

LDso RRCssRRIV

++−= (7-79)

上式可改寫為

3

)(LP

LDs

o

ssRR

IV

ω+−= (7-80)

因此 2CC 置入一零頻率(dc)的零點和一頻率 3LPω 的實數極點,

)(

1

23

LDCLP RRC +

=ω (7-81)

若考慮 or ,則 3LPω 可近似表為

])[(

1

23

LDoCLP RRrC +

≅ω (7-82)

同理此極點的頻率亦可由觀察法求得:它等於由 2CC 乘以由 2CC 看到電

阻所得的時間常數之倒數。 低頻增益函數 低頻放大器增益 )(sAVL 可由合併(7-72),(7-76),(7-80)三式而得:

))()((

)()(321

2

LPLPLP

LZM

i

oVL sss

ssAVVsA

ωωωω

++++== (7-83)

其中中頻帶增益 MA 為

in

inLDmM RR

RRRgA+

−= )( (7-84)

若考慮 or ,則

in

inLDomM RR

RRRrgA+

−= )( (7-85)

根據(7-13)式,三分貝低頻 Lω 約為

223

22

21 2 LZLPLPLPL ωωωωω −++≅ (7-86)

一般而言, 2LPω 可能是主極點頻率,此時

374 第 7 章 頻率響應

⎟⎠

⎞⎜⎝

⎛=≅

mSS

LPL

gRC 1

12ωω (7-87)

短路時間常數法 另一種分析方法為短路時間常數法,首先令 SC 和 2CC 之值為無窮大,並

決定由 1CC 所看到的有效電阻 sR1 ,由圖 7-4 得,

ins RRR +=1 (7-88)

再令 1CC 和 2CC 為無窮大,並決定由 SC 所看到的有效電阻 sR2 ,

m

Ss gRR 1

2 = (7-89)

最後,令 1CC 和 SC 為無窮大,並決定由 2CC 所看到的有效電阻 sR3 ,

LDs RRR +=3 (7-90)

若考慮 or ,則

LDos RRrR += )(3 (7-91)

由(7-28)式可寫下低 3dB 頻率 Lω 之近似表示式

sCsSsC

L RCRCRC 32211

111 ++≅ω (7-92)

例題 1

參考圖 7-5(a)共源放大器之高頻等效電路, Ω= k100R , Ω= k420inR ,

== gdgs CC 1pF, =mg 4mA/V,和 Ω=′ k33.3DR 。在相同的數值下,比

較本節介紹的三種分析方法所計算出的高三分貝頻率。

解:

先計算中頻帶增益 MA 為

dB)7.20(8.1033.34

100420420

)(

−=××+

−=

′+

−==Δ Dmin

in

i

oM Rg

RRR

VV

A

(a) 開路時間常數法: 由(7-43)式, =×××== − 312

11 108.80101ogs RCτ 80.8ns

由(7-45)式, =×××== − 612

22 1016.1101ogd RCτ 1160ns

7.4 共射放大器 375

代入(7-48)式可得,

krad/s 80611

211

=+

=≅ττ

ωaH

故 3dB 頻率 Hf 為

==π

ω2

HHf 128.3kHz

(b) 米勒定理法: 由(7-52)至(7-54)三式可得,

kHz 7.1282

1 ==TT

H RCf

π

(c) 精確高頻轉換函數法: 代入(7-59)式,

1626

9

1069.21024.11104

1)( −−

Δ

×+×+×

−== ss

s

VVsA

i

oVH

因此,

=×==ππ

ω2104

2

9HZ

HZf 637MHz

令轉換函數中的分母多項式為零

011024.11069.2 6216 =+×+× −− ss

可解得 krad/s 6.8081 =HPω , =2HPω 4608.9 Mrad/s,即 =1HPf 128.4 kHz, =2HPf 734.5 MHz。

7.4 共射放大器

本節將分析一古典電容耦合式(capacitively-coupled)共射放大器之頻率

響應,見圖 7-9。分兩大部份介紹高頻響應和低頻響應。

7.4.1 高頻響應

本小節將介紹三種分析高頻響應的方法,分別為開路時間常數法,米勒

定理法,與精確高頻轉換函數法。圖 7-10(a)為共射放大器代入高頻混合

- π 模型後之等效電路,其中 21 RRRB = 。圖 7-10(b)則令圖 7-10(a)中

選擇性閱讀

376 第 7 章 頻率響應

CLCo RRRr ′=)( 且將圖 7-10(a)中電容 πC 左側之輸入電路以戴維寧等效

簡化,其中等效源 THV 為

VCC

CC1

R1 RC

RER2 CE

RL

Vo

CC2

Vs

Rs

−+

圖 7-9 古典電容耦合式共射放大器。

(a)

E

BJT

roVs

+RB

B

RC RL

+

Vo

C

rπ Vπ

Rs rb B'

Cπ gmVπ−+

(b)

+VTH

RT

Vπ Cπ

gmVπ

+

Vo−+ CR'

圖 7-10 (a)共射放大器的高頻等效電路,(b)將(a)中輸入端電路取戴維寧等效並令 CR′= ro||RC||RL。

7.4 共射放大器 377

π

π

rrRRr

RRRVV

bBsBs

BsTH +++

=)(

(7-93)

和等效內阻 TR 為

[ ] πrrRRR bBsT += )( (7-94)

開路時間常數法 首先令 0=μC 得

To RCRC ππτ == 11 (7-95)

再令 0=πC 得

[ ]TCmCo RRgRCRC )1(22 +′+′== μμτ (7-96)

於是高三分貝頻率 Hω 為

21

11τττ

ω+

=≅eff

H (7-97)

高頻增益函數可近似表示為

H

MVH sAsA

ω+

≅1

1)( (7-98)

其中 MA 代表中頻帶增益,

⎥⎥⎦

⎢⎢⎣

++⎟⎟⎠

⎞⎜⎜⎝

⎛+

−=π

π

rrRRr

RRRrRRgA

bBsBs

BoCLmM )()( (7-99)

米勒定理 利用上一節的分析方法可得一全部輸入電容 )1( CmT RgCCC ′++= μπ (7-100)

此電容決定了共射放大器的高頻響應。因為它對應一主宰的高頻極點,

因此高 3dB 頻率為

TT

H RC1≅ω (7-101)

精確高頻轉換函數 利用上一節的分析方法可解得精確高頻轉換函數 )(sAVH ,

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +

−=

21

11

1)(

HPHP

HZMVH ss

s

AsA

ωω

ω (7-102)

378 第 7 章 頻率響應

其中零點頻率 HZω 為

μ

ωCgm

HZ = (7-103)

以及極點頻率 1HPω , 2HPω 分別為

TTCCm

HP RRRCRgCC )]()1([1

1 ′+′++≅

μμπ

ω (7-104)

π

ωCgm

HP ≅2 (7-105)

7.4.2 低頻響應

低頻增益函數 圖 7-9 的古典共射放大器其頻率響應的分析與第 7.3 節共源放大器的分

析完全一樣。因此,其低頻全級增益可寫成以下型式

))()((

)()(321

2

LPLPLP

LZMVL sss

ssAsAωωω

ω+++

+= (7-106)

其中 MA 為忽略所有電容效應所計算出來的中頻帶增益, LZω , 1LPω ,

2LPω , 3LPω 則是在低頻帶由耦合和旁路電容所產生的零點和三個極點。 此時我們必須注意由 EC 所置入的零點即為令 )1(1 EEE sCRZ += 等於

無窮大時所對應的 s 值。此零點的頻率通常遠小於 Lω ,其中零點位置為

LZEE

LZ RCs ω−=−= 1 (7-107)

此式與上一節結果類似。至於其低 3 分貝頻率 Lω 則為

223

22

21 2 LZLPLPLPL ωωωωω −++≅ (7-108)

短路時間常數法 考慮圖 7-11 中的低頻等效電路,現在我們利用短路時間常數法來估計低

3dB 頻率 Lω 。決定 Lω 的步驟如下:首先令 EC 和 2CC 之值為無窮大,求

出由 1CC 所看到的電阻 sR1 。由圖得

[ ] sbBs RrrRR ++= )(1 π (7-109)

再令 1CC 和 2CC 之值為無窮大,求出由 EC 所看到的電阻 sR2 。在圖 7-11

中我們利用電阻反射定律(由基極反射至射極電路)求得

CE所置入的零點為令ZE =1/(1/RE + sCE)等於無窮大

所對應的 s 值。

7.4 共射放大器 379

E

BJT

roVi

+RB

B

RC RL

Vo

C

rπ Vπ

Rs rb B'CC1

gmVπ

CC2

RE CE

−+

圖 7-11 共射放大器之低頻等效電路。

⎥⎦

⎤⎢⎣

⎡+

++=1

)(

02 β

π sBbEs

RRrrRR (7-110)

最後,令 1CC 和 EC 之值為無窮大,求出由 2CC 所看到的電阻 sR3 。

LoCs RrRR += )(3 (7-111)

因此,低 3dB 頻率的近似值為

sCsEsC

L RCRCRC 32211

111 ++≅ω (7-112)

若我們給定一個想要的 Lω 值,則利用(7-112)式的設計如下:因為 sR2 通

常是三者中最小的,所以我們通常選擇某一個 EC 值使得( sE RC 21 )在(7-112)式的右側部份為一主宰項。譬如說 LsE RC ω9.01 2 = 。而 Lω 乘下的

10%則平均分給另外兩項。另一方面,也可以選擇某一 EC 值以形成主宰

的低頻極點;換句話說,在 Lωω = 時,另外兩個電容扮演著較不重要的

角色。即

⎥⎦

⎤⎢⎣

⎡+

++≅≅

1)(

11

0

2

β

ωπ Bsb

EsE

LRRrrC

RC (7-113)

例題 2

圖 7-12 為一 BJT 射極追隨器電路,試以 (a) 開路時間常數法分析其高頻響應,並寫出 3dB 頻率 Hω 之近似表示

式。

380 第 7 章 頻率響應

VCC

CC1

R1

RER2 RL

Vo

CC2

Vs

Rs

−+

圖 7-12 例題 2:射極追隨器電路。

(b)以米勒定理分析其高頻響應,並寫出 3dB 頻率 Hω 之近似表示式。

解:

考慮電容耦合的射極追隨器電路及其高頻等效電路,見圖 7-13(a)。其中 sR 代表源電阻以及 ER′ 代表射極偏壓電阻和負載電阻的合成,

LEE RRR =′ 和 21 RRRB = 。 (a) 參考圖 7-13(b),首先令 0=μC ,計算由 πC 所看到的電阻 oR1 ,

根據迴路方程式,

(a)

E

BJT

Vs

+RB

B C

rπ Vπ

Rs rb B'

gmVπ

RE

RL

Vo

−+

(b)

RT

I

rπ Vt

R1o

gmVt

IrVt −

π

IrVVg t

tm −+π

πrVI t−

−+

ER'

圖 7-13 例題 2:(a)射極追隨器的高頻等效電路,(b)以開路時間常數法計算 R1o。

7.4 共射放大器 381

⎟⎠

⎞⎜⎝

⎛ −+′+=⎟⎠

⎞⎜⎝

⎛ − IrVVgRVR

rVI t

tmEtTt

ππ

(7-114)

整理可得

⎟⎠

⎞⎜⎝

⎛′+′+==Em

ETto Rg

RRrI

VR11 π (7-115)

其中 bBsT rRRR += )( (7-116) 再令 0=πC ,計算由 μC 所看到的電阻 oR2 ,

[ ]ETo RrRR ′++= )1(2 βπ (7-117)

於是

ooi

ioieff RCRCRC 21

2

1μπτ +==∑

=

Δ (7-118)

故高三分貝頻率

eff

H τω 1≅ (7-119)

(b) 現將圖 7-13 之高頻等效電路重新整理如圖 7-14(b)所示,其中

BS

BSTH RR

RVV+

= (7-120)

和 TR 即(7-116)式。再將圖 7-14(a)中介於輸入端B' 和輸出端間之

回授組件 πZ ( πr 和 πC 之並聯)以米勒定理處理,即

(a)

VTH

+rπ Vπ

RT B'

gmVπ

CπZinCμ

+

Vo

−+

ER'

bV'

(b)

VTH

RT B'

Cμ )1( EmRgr ′+πEmRg

C′+1

π−+

Zin

圖 7-14 例題 2:(a)射極追隨器之高頻等效電路,(b)利用米勒定理計算等效輸入阻抗 Zin。

382 第 7 章 頻率響應

K

ZZin −=

1π (7-121)

其中米勒增益 K 為

Ee

E

b

o

RrR

VVK

′+′

≅′=Δ (7-122)

代入上式得

)1(1 Eme

Ein RgZ

rRZZ ′+≅⎟

⎞⎜⎝

⎛ ′+= ππ

[ ]⎟⎟⎠

⎞⎜⎜⎝

⎛′+

′+=

Em

Em

RgCs

Rgr

1

1)1(π

π (7-123)

於是 3dB 頻率 Hω 為

[ ])1(

1

1

EmTEm

H

RgrRRg

CC ′+⎟⎠

⎞⎜⎝

⎛′+

+=

ππ

μ

ω (7-124)

7.5 共基放大器

圖 7-15 顯示一電容耦合式的共基放大器。以下我們特別將重點放在高頻

分析上;至於低頻分析部份則與第 7.4 節所用的方法類似。

VCC

R1

RC

RE

R2

RL

Vo

CC2

CB

CC1

RsVs

−+

圖 7-15 共基放大器。

7.5 共基放大器 383

BJT

Vs

+RE RC RL

Vo

C

Cπ Vπ

Rs

gmVπ

E

re

B

Cμ−+

圖 7-16 共基放大器之高頻等效電路。

7.5.1 精確高頻轉換函數

共基放大器的高頻等效電路見圖 7-15。為了簡化問題並且更清楚地看到

共基電路的特殊外貌,在此我們忽略 or 和 xr 。現將 BJT 以高頻 T-等效模

型取代並展開可得圖 7-16 之等效電路。 其中高頻極點可直接由圖中求得。在輸入端有一極點,其頻率 1HPω 為

)(

11

eEsHP rRRCπ

ω = (7-125)

因為 er 通常很小,所以 1HPω 之值相當高。而輸出端有一極點其頻率 2HPω

)(

12

LCHP RRCμ

ω = (7-126)

因為 μC 相當小,所以 2HPω 之值也相當高。至於中頻增益 MA 為

)(

)()(

eEs

eELCmM rRR

rRRRgA

+= (7-127)

由圖 7-16 可明顯看出輸入與輸出均為一單一時間常數低通 RC 網路,故

精確高頻轉換函數為

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +=

21

11)(

HPHP

MVH ss

AsA

ωω

(7-128)

7.5.2 三分貝頻寬

根據三分貝高頻 Hω 之原始定義:在 Hωω = 處,

輸入與輸出均為一單一時

間常數低通 RC 網路。

384 第 7 章 頻率響應

2

)( MHVH

AjA =ω (7-129)

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+

⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛+=

2

2

2

1

112HP

H

HP

H

ωω

ωω (7-130)

此一元四次方程式之解即為 Hω 。或可根據(7-18)式,

22

21

111

HPHP

H

ωω

ω+

≅ (7-131)

圖 7-16 清楚地顯示了共基放大器最重要的高頻表現:缺少內部回授電

容。它與共射電路不同,這裡的 μC 有一端接地,所以不會出現米勒效

應。因此其高截止頻率將遠超過共射組態之值。 另外值得一提的是,實際上 IC 電晶體中介於集極和基板(接地)的寄生

電容也必須考慮,其結果將對 2HPω 造成嚴重的影響。無論如何,在此我

們仍將強調一點共基放大器的高頻表現將遠比共射放大器要好。

7.6 組合式放大器

7.6.1 共射共基串疊組態

圖 7-17 顯示一電容耦合式共射共基串疊放大器,它同時具有共射與共基

組態的優點。在串疊電路中, 1Q 為共射組態,它對訊號源呈現一高輸入

電阻。接著 1Q 在集極訊號電流被送進 2Q 的射極,其中 2Q 是以共基組態

出現。因此 1Q 所看到的負載電阻就是 2Q 的輸入電阻 er ,且此低電阻將

大幅降低 μC 的米勒乘積效應,造成高截止頻率的延伸。但是其中頻帶

增益卻不至於降低,因為 2Q 的集極電流幾乎和 1Q 的集極電流相等。再

者,由於 2Q 為共基組態,所以 2Q 不會受到米勒效應的影響,也就是不

會限制其高頻響應。 參考圖 7-18(a)顯示的高頻等效電路得知 LCC RRR =′ 。此外,以高頻混

合-π 模型取代 1Q 和高頻 T-等效模型取代 2Q 。參考圖 7-18(a),在我們有

興趣的頻率範圍內計算 1Q 的集極電壓時, 2πC 和 1μC 可以忽略;也就是

說,

12111 ππ VrVgV emc −≅−≅ (7-132)

共基放大器缺少內部回授

電容,不會出現米勒效

應。

Q1 所看到的負載電阻就

是 Q2的輸入電阻 re,且此

低電阻將大幅降低 Cμ的

米勒乘積效應。

7.6 組合式放大器 385

VCC

R1

RC

RE

R3

RL

Vo

CC2

CC1

CE

R2

Q1

Q2

Vs

Rs

CB

−+

圖 7-17 共射共基串疊組態。 因此介於B1 和C1 間的增益約為−1,且利用米勒定理可將 1μC 以一介於B1

和接地點間的電容 12 μC 以及一介於C1 和接地點間的電容 12 μC 所取代,

所得到的等效電路見圖 7-18(b)。此外,圖 7-18(a)中之輸入電阻網路以

戴維寧等效型式出現於圖 7-18(b),其中等效訊號源 THV 為

)(

)(

132

132

π

π

rRRRrRR

VVs

sTH += (7-133)

等效內阻 TR 為

132 πrRRRR sT = (7-134)

由此可計算出在輸入 RC 低通網路所產生的極點頻率

)2(

1

111

μπ

ωCCRT

HP += (7-135)

觀察圖 7-18(b)的中間部份,電阻 2er 與電容 2πC 合併即產生了一轉換函數

極點,其頻率為

)2(

1

1222

μπ

ωCCre

HP += (7-136)

通常此頻率遠比由 TR 和 1Q 之輸入電容作用產生的極點頻率為高。而輸

出部份的極點頻率為

386 第 7 章 頻率響應

(a)

BJT

Vs −

+Vπ1

Rs

gm1Vπ1

rπ1R2

Cμ1

re 2Cπ 2gm2Vπ 2

Cμ 2

BJT

+

Vo

+

Vπ 2Cπ1R3−+

C1 E2

E1 B2

C2

CR′

B1

(b)

gm1Vπ1

re 2 Cπ 2gm2Vπ 2

Cμ 2

+

VoVTH

RT

2Cμ1Cπ1

+Vπ 1 2Cμ 1

+Vπ 2−+ CR′

C2

圖 7-18 (a)共射共基串疊組態之高頻等效電路,(b)Cμ1以米勒定理處理後之等效電路。

C

HP RC ′=

23

1

μ

ω (7-137)

在一般情況下,輸入電路將產生一主宰的高頻極點,且高 3dB 頻率 Hω 為

1HPH ωω ≅ (7-138)

另外,合併三個極點頻率再利用(7-18)式可使得 Hω 的計算值更為準確,

23

22

21

1111

HPHPHP

H

ωωω

ω++

≅ (7-139)

7.6.2 共集共射串接組態

射極追隨器良好的高頻響應是由於不會發生米勒電容乘積效應;問題是

它並不提供電壓增益。在此我們利用共集和共射級串接將可同時獲得增

益和頻寬,如圖 7-19 所示。因為 1Q 的集極是訊號接地,所以 1μC 不至於

7.6 組合式放大器 387

VCC

R1

RE1

R2

RsCC1

RC

RL

Vo

CC2

RE2 CE

Q2

Q1

Vs −+

圖 7-19 共集共射串接組態。

被增益放大。因此,由輸入電容和源電阻作用所產生的極點頻率將很高。 電壓增益是由共射電晶體 2Q 所提供。注意此電晶體遭受米勒效應的影

響;也就是介於其基極和接地點間的全部有效電容非常大。但是,因為

射極追隨器非常低的輸出電阻,遂使得此有效電容所看到的電阻值相當

低。換句話說, 2Q 的米勒電容放大效應被射極追隨器 1Q 的低輸出電阻

所補償,因此整個放大器的高頻響應仍然非常好。至於,本電路之頻寬

計算,建議使用開路時間常數法,可參考例題 3。

例題 3

利用開路時間常數法分析圖 7-19 共集共射串接組態,並推導其高 3dB頻率之表示式。

解:

先將圖 7-19 之高頻等效電路展開,見圖 7-20。其中等效訊號源 THV

s

sTH RRRRR

VV+

=)(

)(

21

21 (7-140)

和等效內阻 TR 為

21 RRRR sT = (7-141)

此外, 211 πrRR EE =′ 和 LCC RRR =′ 。為使分析簡化,我們先利用米

Q2 的米勒電容放大效應

被射極追隨器 Q1 的低輸

出電阻所補償。

388 第 7 章 頻率響應

gm1Vπ1

gm2Vπ 2

Vo

VTH

RT

Cμ1

+Vπ 1rπ 1 Cπ 1

+Vπ 2CT2

−+

1ER′CR′C ′2μ

圖 7-20 例題 3:共集共射串接組態之高頻等效電路。

勒定理處理 2Q 的電容 2μC ,於是

)1(222 CmT RgCCC ′++= μπ (7-142)

⎟⎟⎠

⎞⎜⎜⎝

⎛′

+=′CmRg

CC 1122 μμ (7-143)

此電路仍然相當複雜,因此我們利用開路時間常數的技巧來決定

Hf 如下:電容 1μC 看到一電阻 oR1 ,

])1([ 1111 ETo RrRR ′++= βπ (7-144)

第二個電容 1πC 看到一電阻 oR2 ,參考(7-115)式,

⎟⎠

⎞⎜⎝

⎛′+′+=

1

112 1 Em

ETo Rg

RRrR π (7-145)

第三個電容 2TC 看到一電阻 oR3

⎟⎠

⎞⎜⎝

⎛+

+′=11

113 β

π TEo

RrRR (7-146)

最後,電容 2μC ′ 看到一電阻 oR4 即 CR′ 。因此,有效時間常數為

ooTooeff RCRCRCRC 42322111 μπμτ ′+++= (7-147)

對應的高 3dB 頻率 Hf 為

eff

Hfπτ21≅ (7-148)

7.7 差動放大器 389

7.7 差動放大器

7.7.1 對稱激發

考慮差動放大器見圖 7-21(a)。輸入訊號 sV 以互補型式(或稱推拉式,

push-pull)加入此電路,且源電阻均等分佈在差動對的兩側。譬如說,若

此差動放大器是由其他的差動級注入訊號,則將產生這種對稱激發的情

況。此外, oR 代表電流源的輸出電阻,而 oC 代表電流源的輸出電容。

因為此電路是對稱的且以互補型式注入訊號,因此其頻率響應將和圖

7-21(b)中的等效共射電路完全一樣。由於差動對為一直接耦合放大器

(direct-coupled amplifier),因此增益將延伸至零頻率且其放大器的高頻響

應將被一頻率為 HPω 的實數極點所主宰,

)]1([][

1

CmsHP RgCCrR ++

=μππ

ω (7-149)

假設差動對取 1cV 為單端輸出,因此,高頻差模轉換函數為

)(1

)( 01

H

d

s

cd s

AVVsA

ω+==Δ (7-150)

(a)

RC

Rs

RC

Rs

VCC

RoCo IQ

−VEE

2sV

2sV

−+

−+

(b)

RC

Rs

RC

RsQ1 Q2

2sV

2sV

−+

−+

Vc2Vc1

圖 7-21 (a)一對稱激發的差動對,(b)交流等效差模半電路。

390 第 7 章 頻率響應

其中 3dB 頻率 Hω 等於極點頻率 HPω ,而低頻增益 0dA 則為

π

π

rRrRgA

sCmd +

−=21

0 (7-151)

7.7.2 共模排斥比

基於許多因素,一差動放大器的共模排斥比在高頻時會衰減;而造成此

衰減的最主要因素為共模增益隨頻率的增加而增加。考慮圖 7-22 中的等

效共模半電路,這裡的電阻 oR 代表偏壓電流源的輸出電阻,而電容 oC 則

代表其輸出電容。由第 7.4 節討論共射放大器的頻率響應中得知 oR2 和

2oC 將在共模增益函數中置入一零點,此零點的頻率 HZf 為

oooo

HZ CRCRf

ππ 21

)2)(2(21 == (7-152)

因為電阻 oR 通常極大,即使輸出電容 oC 之值很小, HZf 之值仍然很低。

其結果為共模增益在 HZf 之後就開始增加(斜率為+20dB/decade),見圖

7-23(a)。或者,也可參考高頻共模增益函數之近似表示式

⎟⎟⎠

⎞⎜⎜⎝

⎛+≅=Δ

HZcm

cm

ccm f

fjAVVsA 1)( 0

1 (7-153)

其中 0cmA 為低頻共模增益值。

將共模增益與差模增益的頻率響應合併起來即可得到共模排斥比

CMRR 的頻率響應,見圖 7-23(b)。其中 CMRR 的第一個極點為

RC

Rs

2Ro2QI

Vcm −+

Q1

2oC

Vc1

圖 7-22 等效共模半電路。

一差動放大器的共模排斥

比在高頻時會衰減;而造

成此衰減的最主要因素為

共模增益隨頻率的增加而

增加。

7.7 差動放大器 391

(a)

dB

fHZ fHP f

0log20 dA

0log20 cmA

dA

cmA

斜率= −20dB/decade

斜率= +20dB/decade

(b)

CMRR(dB)

fHZ fHP f

0

0log20cm

d

AA

斜率 = − 20dB/decade

斜率 = − 40dB/decade

圖 7-23 (a)共模增益|Acm|以及差模增益|Ad|隨頻率的變化,(b)共模排斥比隨頻率的變化。

oo

HZCMRRHP CRff

π21

)(1 == (7-154)

而 CMRR 的第二個極點為

TT

HPCMRRHP CRff

π21

)(2 == (7-155)

其中 πrRR sT = 和 TC 為

)1( CmT RgCCC ++= μπ (7-156)

7.7.3 單端激發

圖 7-24(a)顯示一以單端(single-ended)型式驅動的差動放大器,為與圖

7-21 對稱激發差動對之結果比較,我們特別將訊號源內阻改為 SR2 。值

得注意的是其頻率響應與前面的對稱驅動放大器幾乎一樣。圖 7-24(b)顯示一完整的高頻等效電路,在節點E1 和E2 處我們寫下一節點方程式得

01121 =⎟

⎞⎜⎝

⎛ +++⎟⎠

⎞⎜⎝

⎛ ++ mm gsCr

VgsCr

V ππ

πππ

π (7-157)

因此, πππ VVV Δ=−= 21 (7-158)

由此可得圖 7-25(a)中的等效電路,注意由於射極線上兩分支電流為零,

故可更進一步簡化至圖 7-25(b)和(c)。考慮圖 7-25(c),若我們忽略了流

經 μC 的訊號電流,則可得

πVRgV Cmc −≅1 (7-159)

選擇性閱讀

392 第 7 章 頻率響應

(a)

Vs

RC

2Rs

RC

VCC

IQ

−VEE

Q1 Q2

−+

(b)

BJT

Vs

2Rs

+

gmVπ 1

CπVπ 1

+ gmVπ 2

CπVπ 2

BJT

RC

RC

Vc1

−+

B2

C2

E1 E2

B1 C1

圖 7-24 (a)單端激發的差動放大器,(b)圖(a)展開後的高頻等效電路。

於是米勒增益

Cmc Rg

VVK

21

21 −≅=Δπ

(7-160)

最後利用米勒定理可得出簡化的輸入等效電路,見圖 7-25(d)。由此圖可

看出高頻響應是被在 HPs ω−= 的極點所主宰,其中 HPω 為

TT

HP RC1=ω (7-161)

其中

⎟⎠⎞

⎜⎝⎛ ++= CmT RgCCC

211

2 μπ (7-162)

πrRR sT 22= (7-163)

此結果與(7-149)式幾乎一致。此外,差動放大器單端輸出之高頻轉換函

數 )(sAd 亦可近似表示為

)(1

)( 01

H

d

s

cd s

AVVsA

ω+≅=Δ (7-164)

7.7 差動放大器 393

(a)

Vs

2Rs

+rπ

CπVπ

+

CπVπ

RC

RC

Vc1

gmVπ

0 0

−+

gmVπ

(b)

Vs

2Rs

+rπ

CπVπ

+

CπVπ

RC

RC

Vc1

−+

gmVπ

gmVπ

(c)

Vs

2Rs

+

gmVπ

2rπ 2Vπ

RC

RC

+Vc1

2πC

−+

B1

B2

C1

C2

(d)

Vs

2Rs

+

2rπ 2Vπ 2πC

−+ ⎜⎝⎛ + CmRgC

211μ ⎟

⎠⎞

圖 7-25 單端激發差動放大器高頻分析之過程與結果。

其中 HPH ωω ≅ ,而低頻增益 0dA 可由圖 7-25(c)中令所有電容開路而求

出,其結果為

π

π

rRrRgA

sCmd +

−=21

0 (7-165)

以上二式之結果亦與(7-150)和(7-151)二式完全一致。

7.7.4 共集共基式差動放大器

如圖 7-26(a)所示為一經過修正的差動放大器,其頻寬較高。注意,此電

394 第 7 章 頻率響應

路只不過是消去 1Q 的集極電阻,卻可消除 1μC 的米勒電容乘積效應。再

者,因 2μC 有一端接地,所以 2Q 亦不會出現米勒效應。

另外,我們可以將圖 7-26(a)視為一共集級( 1Q )其後連接一共基級

( 2Q ) , 因 此 這 個 電 路 即 為 一 共 集 共 基 組 態 (common-collector

common-base configuration)。 為了簡化問題,我們忽略基極散佈電阻 br 的效應,因此可得到一等效

電路,見圖 7-26(b)。在節點E1 和E2 處建立一節點方程式可得

πππ VVV Δ=−= 21 (7-166)

由此可進一步簡化等效電路,見圖 7-27(a)和(b)。可看出此電路存在兩個

實數極點,一個在輸入部份其頻率為 1HPω ,

)2)(2(

11

μππ

ωCCrRs

HP += (7-167)

另一個在輸出其頻率為 2HPω ,

μ

ωCRC

HP1

2 = (7-168)

此二極點中是否有一為主宰將視特殊應用而定。倘若無主極點存在,則

(a)

Rs

RC

Vo

VCC

IQ

−VEE

Q1 Q2

Vs −+

(b)

BJT

Vs

Rs

+

gmVπ 1

CπVπ 1

+rπ

CπVπ 2

BJT

RC

Vo

−+

E1 E2

C1B1

B2

C2

gmVπ 2

圖 7-26 共集共基式差動放大器:(a)電路,(b)高頻等效電路。

消去 Q1的集極電阻,卻可

消除 Cμ1 的米勒電容乘積

效應。

7.7 差動放大器 395

(a)

Vs

Rs

+

gmVπ2rπ

2Vπ

Vo

RC

2πC

−+

B1

B2

C1

C2

(b)

Vs

Rs

+2rπ Cμ2Vπ 2

πCgmVπ

RCCμ

+

Vo−+

B1 C2

圖 7-27 共集共基式差動放大器:(a)高頻等效電路,(b)化簡的結果。

吾人可利用全級轉換函數來計算 3dB 頻率 Hω 。至於精確全級轉換函數

=)(sAVH

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +21

11HPHP

M

ssA

ωω

(7-169)

其中中頻增益 MA 為

π

π

rRrRgA

sCmM 2+

= (7-170)

7.7.5 741 輸入級電路

圖 7-28(a)為 741 運算放大器的輸入級,它是以共集( 1Q 和 3Q )共基( 2Q 和

4Q )組態出現的差動放大器。根據上一章的觀念,在對稱激發的條件下,

2Q 和 4Q 的共基端子為交流接地,於是可得到圖 7-28(b)之等效差模半電

選擇性閱讀

396 第 7 章 頻率響應

(a)

Rs

VCC

IQ

−VEE

Q1 Q3

−+ Vo

RCRC

Q2 Q4

RS

交流接地V2s V

2s−+

−+

(b)

RsQ1

RC

Q2

2sV

2oV

−+

C1

B2

圖 7-28 (a)741 輸入級,(b)圖(a)的等效差模半電路,注意此等效電路與圖 7-26(a)完全相同。

路。注意,此等效電路其實與圖 7-26(a)完全相同,故其高頻分析結果如

下:

⎟⎠

⎞⎜⎝

⎛ +⎟⎠

⎞⎜⎝

⎛ +===

21

0

1122)(

HPHP

d

s

o

s

od ss

AVV

VVsA

ωω

(7-171)

其中低頻差模增益 0dA

π

π

rRrRgA

sCmd 20 +

= (7-172)

和第一個極點頻率 1HPω 為

)2)(2(

11

μππ

ωCCrRs

HP += (7-173)

以及另一個極點頻率 2HPω 為

μ

ωCRC

HP1

2 = (7-174)

例題 4

如圖 7-29(a)所示,差動放大器的頻寬可藉由在射極置入兩個相等電阻

ER 而被加大,也就是 Hω 可以增加)。但是,這也將使得低頻增益下降。

7.7 差動放大器 397

(a)

RC

Rs

RC

Rs

−+ Vo

VCC

RoCo IQ

−VEE

RE RE2sV

2sV

−+

−+

(b)

RC

RsQ1

RE

2oV

2sV

−+

圖 7-29 例題 4:(a)電路圖,(b)等效差模半電路。

估計射極電阻對頻率響應的影響,可考慮圖 7-29(b)中的等效差模半電

路,並由此半電路之高頻分析直接計算在差模輸出的條件下差模增益

之高 3dB 頻率 Hω 。

解:

差模半電路之高頻等效電路顯示於圖 7-30(a)。因為這裡不適合使用

米勒定理,所以我們利用開路時間常數法來分析此電路。分析過程

如下:首先移去 μC ,決定由 πC 所看到的電阻 oR1 。由圖 7-30(a)並

參考(7-115)式可得

⎟⎠

⎞⎜⎝

⎛+

+=Em

Eso Rg

RRrR11 π (7-175)

接下來移去 πC ,決定由 μC 所看到的電阻 oR2 。由圖 7-30(b)中之迴

路L1 得

CmEm

cet

RVgIRVr

gV

VVVV

)(1ππ

ππ

π

++⎟⎠

⎞⎜⎝

⎛ ++=

++=

(7-176)

由迴路L2 得

398 第 7 章 頻率響應

(a)

gmVπ

CμCπ

RE

RsBJTB C

E

2sV

Vπrπ

+

2oV−+

+

RC

(b)

I+gmVπ

RC Vc

gmVπ

Rs

RE

Vt

R2o

I+

−Vπ

I

L2

+

π

π

rVI −

π

rV

π

−+

L1

⎟⎠

⎞⎜⎝

⎛ +π

π rgV m

1+Ve

圖 7-30 例題 4:(a)差模半電路之高頻等效電路,(b)利用開路時間常數

法計算 Cμ看到之等效電阻 R2o。

se RrVIVV ⎟

⎞⎜⎝

⎛ −=+π

ππ (7-177)

ss

e

E IRrR

rRV =⎟

⎞⎜⎝

⎛ ++π

π 1 (7-178)

注意(7-176)式,等號的右側只有 I 和 πV 兩個變數,於是將(7-178)式代回

(7-176)式消去 πV 即可解得

練習題 399

πrR

rR

RgrR

RRI

VR

s

e

E

Cme

E

sCt

o

++

+++==Δ

1

1

2 ⎟⎠

⎞⎜⎝

⎛ ++≅E

CsC R

RRR 1 (7-179)

故整體的有效時間常數為 ooeff RCRC 21 μπτ += (7-180)

且 3dB 頻率 Hω 可近似為

eff

H τω 1≅ (7-181)

7.1 一放大器的低頻響應可以用 )(sL 描述

)25)(100()10()(++

+=ss

sssL

計算其三分貝低頻 Lω 。

7.2 一放大器具有兩個頻率分別為 1 和 10 rad/s 的低頻零點以及兩個頻

率分別為 5 和 100 rad/s 的低頻極點,又中頻增益為−100。 (a) 計算此放大器之直流增益。 (b) 計算此放大器之三分貝頻率 Lω 。

7.3 附圖所示為一 MOS 共源放大器之高頻等效電路,其中 MOS 的轉導

值為 VmA3=mg 和輸出電阻為 Ω= k 15or 。兩寄生電容值分別為

=gsC 50pF 和 =gdC 5pF。

(a) 計算等效米勒乘積電容 MC 。 (b) 計算電壓增益的高 3dB 頻率 Hf 。

Vi −

+

R = 10kΩ G

Vgs Cgs

S

gmVgs ro

DVo

RD = 10kΩ

Cgd

−+

MOSFET

習題 7.3 附圖。

§ 練習題

400 第 7 章 頻率響應

7.4 附圖所示放大器之偏壓可使 =DI 1mA 和 VmA1=mg ,忽略 or 之效

應。 (a) 欲使 SC 對應之極點頻率為 10Hz,問 SC 值為何? (b) 計算 SC 所對應之零點頻率。

(c) 計算此放大器之直流增益。 (d) 若 SR 被一理想電流源取代,則極點和零點頻率分別為何?

7.5 如圖所示為一電流源偏壓之 BJT 共射放大器,令 100=β ,

=μC 2pF,和 =Tf 400MHz。

VDD

CS

Vo

Vi

−VSS

RD = 10kΩID = 1mA

RS = 6kΩ

−+

習題 7.4 附圖。

Vo

Vs

10kΩ

+10V

Q10kΩ

∞0.5mA−+

習題 7.5 附圖。

練習題 401

(a) 計算 πC 之值。

(b) 計算中頻電壓增益。 (c) 計算高 3 分貝頻率。

7.6 附圖所示電路中,電晶體的參數如下: Ω= k1πr , 99=β , =πC 110pF, =μC 5pF, ∞=or 。為簡化問題,電晶體的偏壓不予考慮。

(a) 計算中頻電壓增益 MA 。 (b) 計算 3 分貝低頻 Lf 。 (c) 計算 3 分貝高頻 Hf 。

Vo

Vs

2kΩ

VCC

RC=

Rs=9kΩ

CC=5μF

100ΩRE= 10μFCE=−+

習題 7.6 附圖。

Vo

Vs

+10V

−10V

CE=1μF

IQ=1mA

Rs=10kΩ

RC=5kΩ

−+

習題 7.7 附圖。

402 第 7 章 頻率響應

7.7 附圖所示電路為一電流源偏壓之 BJT 共射放大器,令 100=β 。 (a) 忽略旁路電容 EC 的效應,計算中頻小訊號電壓增益 MA 。 (b) 考慮旁路電容 EC 的效應,計算低 3dB 頻率 Lf 。

7.8 參考圖 7-15 所示的共基放大器,令 =CCV 5V, Ω= k101R ,

Ω= k402R , Ω= k5.6ER , Ω= k1CR , Ω= k1LR , Ω0= 5sR 。假設

電晶體之參數為 80=β , =πC 2pF, =μC 0.5pF,試計算

(a) 中頻帶增益 MA 。 (b) 極點頻率 1HPω 和 2HPω 。 (c) 高 3 分貝頻率 Hω 。

7.9 附圖顯示一共射共基串疊組態, 100=β ,考慮以下三數據分別計

算三極點頻率以及高三分貝頻率 Hf (a) Ω= k 10sR , =μC 0.2pF, =πC 6.2pF。

(b) Ω= 10sR , =μC 1pF, =πC 5pF。

(c) Ω= k5.2sR , == μπ CC 0.8pF。

7.10 參考圖 7-26(a)之共集共基式差動放大器電路,令 =QI 2mA,

Ω= k5.2sR , Ω= k 10CR , =CCV 10V。BJT 參數如下: 50=β ,

=πC 4pF, =μC 2pF。假設 1Q 和 2Q 的直流偏壓電流相等。試計算:

Q1

Vo

Vs

5kΩ

+10V

∞1mA

−10V

Q2

Rs

+1V

−+

習題 7.9 附圖。

參考書目 403

(a) 中頻電壓增益 MA 。 (b) 兩極點頻率 1HPf 和 2HPf 。 (c) 高 3dB 頻率 Hf 。

參考書目

1. A. S. Sedra and K. C. Smith, “Microelectronic Circuits,” 6th ed. Oxford University Press, 2009.

2. J. Millman, and A. Grabel. “Microelectronics,” 3rd ed. New York: McGraw-Hill Book Co., 1999.

3. N. R. Malik, “Electronic Circuits; Analysis, Simulation, and Design,” Englewood Cliffs, NJ: Prentice Hall, Inc., 1995.

4. D. A. Neamen, “Microelectronics: Circuit Analysis and Design,” 4th ed. McGraw-Hill, 2009.

5. T. C. Carusone, D. A. Johns and K. Martin, “Analog Integrated Circuit Design,” 2nd ed. John Wiley and Sons, New York, 2011.

6.P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer. “Analysis and Design of Analog Integrated Circuits,” 5th ed., John Wiley and Sons, New York, 2009.

7. B. Razavi, “Design of Analog CMOS Integrated Circuits,” 1st ed., McGraw-Hill Companies, Inc., 2001.

8. H. W. Bode, “Network Analysis and Feedback Amplifier Design,” Princeton, NJ; D. Van Nostrand Co., 1945.

9. W. H. Hayt and J. E. Kemmerly, “Engineering Circuit Analysis,” 4th ed., New York; McGraw-Hill Book Co., 1986.

10.張文清,“SPICE 電子電路模擬”,四版,台

北鼎茂圖書,2009。

404 附錄 1

附錄 1-練習題解答

第一章

1.1 (a) =1I 1mA, mA5.032 −== II , =4I −1mA。

(b) =SP 3mW。

(c) =1DP 1mW, == 32 DD PP 0.5mW, =4DP 1mW。

(d) 4321 DDDDS PPPPP +++= 。

1.2 (a) =1V 1V, =1Vgm 0.5mA。

(b) =3DP 0.5mW。

(c) 4321 DDDDD PPPPP +++= ,故滿足能量不滅原理。

1.3 (a) =SI 2mA, Ω= k1PR 。

(b) =SV 6V, Ω= k2SR 。

1.4 (a) VV100=vA 。

(b)不正確。

1.5 (a) 22

2

)10(110

II

Ov vdv

dvA+

== 。

(b) 50mV10 == vI Av : , 10mV30 == vI Av : 。

1.6 (a) =η 60%。

(b) =DP 4W。

1.7 (a)LS

LSO RR

Rvv+

= 。

(b) SiS

i

LO

LO v

RRR

RRRv

+⋅

+= μ 。

(c) ∞=iR , 0=OR , 1=μ 。

1.8 (a)sRC

sH+

=1

1)( 。

1.9 (b) =f 400kHz:28dB, =f 4MHz:8dB。

1.10 (a) =Tf 150kHz。

(b) °=90~θ

練習題解答 405

1.12 (a) =HNM 2.4V, V4.0=LNM 。

(b) V185.0== LH NMNM 。

第二章

2.1 (b) 1RRin = 。

2.2 IO vv 8−= 。

2.4 Ω= k 502R , 2=C nF。

2.5 (b) Ω= k101R , Ω= M12R , F16.01 μ=C , pF162 =C 。

2.6 ⎟⎠

⎞⎜⎝

⎛+

++

⎟⎠

⎞⎜⎝

⎛ ++⎟⎠

⎞⎜⎝

⎛ +−= 443

33

43

4

212

21

1 )||(1 v

RRRv

RRR

RRRv

RRv

RRvo 。

2.7 21243

4

1

211

1

20 16

2745 vvv

RRR

RRRv

RRv +−=

+++−=

2.8 )90105cos()( 3 °−×= ttvo

2.9 15.9 kHz。

第三章

3.1 316 cm/10=n , 34 cm/101.2 ×=p 。

3.2 cm-48.0 Ω=ρ 。

3.3 (a) 1cm)-(4.2 −Ω=σ 。

(b) A240μ=I 。

3.4 DECBA σσσσσ >>>> 。

3.5 (a) =biV 0.58V。

(b) 50=n

p

XX

(c) m8.13 μ=W , m5.13 μ=pX , m3.0 μ=nX 。

3.6 (a) 2=η 。

(b) A106.5 9−×=SI 。

3.7 nF2.0 。

406 附錄 1

3.8 =IXV 7.5V, =IYV 21.25V, =OXV 7.5V, =OYV 10V,112=a 。

3.9 (a) =OV 6.83V。

(b) mV38±=Δ OV 。

(c) Ω= k 5.1(min)LR 。

3.10 (a) Ω= k 58.1(min)LR 。

(b) Ω= 308R 。

3.11 =ZV 295V。

第四章

4.1 (a)順向主動區。

(b) 994.0=α , 165=β 。

4.2 (a) =)(CBJbiV 0.48V。

(b) =(max)Rv 5.9V。

4.3 (a) V3.3+=EV , V5.3+=CV , =EI 1mA, =CI 0.81mA, =BI 0.19mA。

(b) +=EV 5.3V, +=CV 5.5V, =EI 1.6mA, =CI 1.13mA, =BI 0.47mA。

4.4 (a) mA9.200=OI 。

(b) V2.2(min) =OV 。

4.5 =BV 7.5V, =EV 6.8V, =CV 10.7V。

4.6 )1)(2( CEQBEQm cVbaVg ++= , mgr βπ = , 12 )]([ −+= BEQBEQo bVaVcr

4.7 (a) =)( EBJbiV 0.9V, =)(CBJbiV 0.67V。

(b) m06.0)( μ=EBJdW , m22.2)( μ=CBJdW 。

(c) pF 18.0)( =EBJjC , pF 47.0)( =CBJjC 。

(d) pF55=bC 。

(e) pF2.55=πC , pF47.0=μC 。

4.8 (a) =EI 1.94mA。

(b) VV1.8−=so vv 。

練習題解答 407

(c) AA9.44−=io ii 。

4.9 (a) Ω= k3.14ER 。

(b) Ω= k10CR 。

(c) VmA40=mg , Ω= k5.2πr , Ω= 25er 。

(d) VV95−=so VV 。

4.11 (a) 99.0=s

o

vv

, Ω= k 5.195inR 。

(b) =(max)ov 5.3V, V4(min) −=ov 。

4.12 (a)4.26mA。

(b) Ω= 8.5inR 。

(c) VV9.8=so vv 。

第五章

5.1 VA1.23 μ=mg , Ω= M27.1or 。

5.2 (a) mA06.1=DQI , V4.4=GSQV 。

(b) Ω= M33.2inR 。

(c) VV3.3−=io vv 。

(d) Ω= k24.8outR 。

5.3 (a) mA3=DQI , V18=DQV 。

(b) VV7.4−=io vv 。

5.4 (a) Ω= k95.0outR 。

(b) VV82.0=io vv 。

5.5 (a) V3=GSQV 。

(b) Ω= k5.0inR 。

(c) VV5.2≅io vv 。

5.6 (a) V3−=SV ,MOS 工作於飽和區。

408 附錄 1

(b) VmA2=mg 。

(c) Ω= 500inR 。

(d) Ω= k5.2outR 。

(e) VV67.1=io vv 。

5.7 (a) V2=GSQV , V7=DSQV 。

(b) VmA2=mg 。

(c) Ω= k5.0inR 。

(d) VV10=io vv 。

5.8 (a) =OI 0.51mA 和 V19.12 −=GSV 。

第六章

6.1 (a) =OI 0.91mA。

(b) =OI 1.11mA。

(c) =OI 1.01mA

6.2 =OI 2.33mA, V65.3−=OV 。

6.3 =OI 2.34mA

6.4 (a) =OV 3V。

(b) =3CBV 2.3V, V3.64 =BCV 。

6.5 (a) =1I 3.1mA, =2I 6.2mA, =3I 3.1mA。

(b) =1I 3.1mA, =2I 4.9mA, =3I 3.1mA。

(c) =1I 3.1mA, =2I 2.45mA, =3I 2.45mA。

6.6 =REFI 654 Aμ , Ω= k 87.21R , Ω= k 3.8ER 。

6.7 (a) =1I 2mA, =2I 1mA, =3I 1mA, =4I 1mA, A105 μ=I , V41 −=V , V3.92 =V , V13 =V ,

V3.94 −=V , V1.05 −=V 。

(b) V8.93 =V , mA65.03 =I 。

6.8 (a) =OV 1.5V。

(b) A1μ=Δ OI 。

練習題解答 409

(c) V7.0(min) =OV 。

6.9 (a) mA86.3=REFI 。

(b) V63.01 =CV 。

(c) VV375−=s

o

vv

6.10 (a) Ω= k40idR 。

(b) VV40=so vv 。

6.11 (a) V31 =CBV , V6.33 =CBV 。

(b) VV40=d

o

vv

(c) VV02.0−=cm

o

vv

(d) Ω= k20idR 。

(e) Ω= M 10icmR 。

6.12 (a) VV20=do vv 。

(b) VV23.0=cmo vv 。

(c) )V(602sin046.020002sin08.0 ttvo ×−×= ππ 。

6.13 (a) mA4=OI 。

(b) 2−=VA 。

6.14 (a) A360μ=DQI 。

(b) 400,2−=VA 。

(c) 100−=VA , Ω= k 5.49inR 。

(d)4V。

6.15 (a) =GGV 5.94V。

(b) VV72.0=io vv 。

(c) Ω= k4.0outR 。

6.16 ))(( 2233 oomout rrgR β≅ 。

410 附錄 1

6.17 (a) =REFI 1mA, =1QI 1mA, =2QI 2mA。

(b) == 21 EE II 0.5mA, === 543 EEE III 1mA, =6EI 5mA。

(c) mW135=+sP , mW 150=−sP 。

6.18 (a) Ω== 5021 ee rr , Ω=== 25543 eee rrr , Ω= 56er 。

(b) ))(1( 21 eeid rrR ++= β , ))(1( 432 eei rrR ++= β , ))(1( 453 RrR ei ++= β , ))(1( 664 RrR ei ++= β 。

(c)21

21211

)]([

ee

i

d

o

rrRRR

vvA

++−==Δ α ,

43

33

1

22

)(

ee

i

o

o

rrRR

vvA

+==Δ α ,

45

45

2

33

)(Rr

RRvvA

e

i

o

o

+−==Δ α ,

66

6

34

eo

o

rRR

vvA

+==Δ 。

(d) ⎟⎠⎞

⎜⎝⎛

++=

β15

66RrRR eout 。

第七章

7.1 srad102=Lω 。

7.2 (a)直流增益 2−= 。

(b) srad99=Lω 。

7.3 (a) =MC 95pF。

(b) =Hf 109kHz。

7.4 (a) F6.18 μ=SC 。

(b) Hz 43.1=LZf 。

(c)直流增益 VV43.1−= 。

(d) 6.82 =LPf Hz, 0=LZf Hz。

7.5 (a) =πC 6pF。

(b) VV7.66−=MA 。

(c) kHz117=Hf 。

7.6 (a) VV8.19−=MA 。

(b) =Lf 318 Hz。

(c) =Hf 160 kHz。

7.7 (a) VV40−=MA 。

(b) kHz28.1=Lf 。

練習題解答 411

7.8 (a) VV5=MA ,

(b) sGrad201 =HPω , sGrad42 =HPω 。

(c) sGrad4=Hω 。

7.9 (a) =1HPf 12.1MHz, =2HPf 968MHz, 1593 =HPf MHz, =Hf 12MHz。

(b) =1HPf 2.28GHz, =2HPf 0.91GHz, =3HPf 32MHz, =Hf 32MHz。

(c) =1HPf 53MHz, =2HPf 2GHz, =3HPf 40MHz, =Hf 32MHz。

7.10 (a) =MA 99。

(b) =1HPf 31.5MHz, =2HPf 8MHz。

(c) =Hf 7.5MHz。

412 附錄 2

附錄 2-中文索引

1 劃

一般性的阻抗轉換器, 85

2 劃

二元數位電路, 38 二氧化矽, 251 十倍, 34

3 劃

三極區, 255, 274 大小, 25 大小值, 32 大小響應, 32 大型積體電路, 40 大量作用定律, 128 小型積體電路, 40 小訊號近似, 159 小訊號電阻, 160 小訊號操作, 31

4 劃

不等比較器, 47 中子, 121 中心抽頭, 169 中性基極寬度, 194 中型積體電路, 40 中間值, 87 中頻帶, 357 互補式金氧半, 341 介面電阻, 162 介電係數, 139, 152 介電常數, 146, 177 元件轉導參數, 259 內建電位, 137

內建電場, 136 內部補償, 90 內部電阻, 162 分立的, 124 分立時間訊號, 26 分立電路, 15, 209, 278 分立電路放大器, 901 分貝, 27 分配律, 49 分壓定律, 237 分壓器, 71 切換電容濾波器, 292 切換臨界電壓, 56 反向電流增益, 192 反向飽和電流, 148 反相位, 31, 64 反相組態, 67 反相輸入端, 64

5 劃

片斷線性模型, 154 主宰的高頻極點, 368 主級線圈, 170 主動, 28 主動區, 277 主動模式, 186 主極點近似, 359 加強式, 251 加權式加法器, 75 功率放大器, 29 功率散逸, 29 功率增益, 28 包裝, 40

中文索引 413

半波整流器電路, 168 半絕緣基板, 276 半導體, 119 半導體國家技術藍圖, 251 半導體產業協會, 251 古典電容耦合式, 365, 375 外質半導體, 127 外觀比值, 259 布林代數, 41 平方定律, 275, 261 平直增益, 83 打開電壓, 163 正回授, 65 正常截止元件, 266 正常導通元件, 266 正邏輯系統, 38 生命期, 143

6 劃 交流等效電路, 215 交換律, 46 全功率頻寬, 99 全級電壓增益, 228 全通濾波器, 82 全部靜電位, 142 全部瞬時輸入, 30 全部瞬間二極體電壓, 159 共同接地, 63 共汲放大器, 291 共射崩潰電壓, 203 共射組態, 188 共射短路電流增益, 363 共射電流增益, 188 共基, 240 共基崩潰電壓, 202 共基組態, 188

共基電流增益, 186 共軛複數對, 33 共集共基組態, 394 共集組態, 234 共價鍵結, 122 共模半電路, 324 共模排斥, 65 共模排斥比, 101, 324 共模電壓, 315 共模誤差電壓, 102 共模增益, 100, 324 共模輸入電阻, 103 同相位, 31, 64 吊環追隨器, 238 因次, 119 回授, 65 多晶矽, 195, 251 多數載體, 138 多數載體元件, 163 多輸出電流鏡, 305 尖峰, 274 有效時間常數, 367 有效基極寬度, 194 有效通道長度, 276 有效通道深度, 269 次級線圈, 169 米勒定理, 22, 287, 367 米勒效應, 368 米勒積分器, 73 自我一致的解, 205 自偏電路, 210 自然模式, 33

7 劃

串疊式, 330

bv

414 附錄 2

串疊電流鏡, 311 佛米位能, 263 作用區, 276 低 3dB 頻率, 75, 359 低注入條件, 147 低通, 33 低通濾波器, 74 低雜訊邊限, 58 克希荷夫, 17 克希荷夫定律, 17 克希荷夫電流定律, 17 克希荷夫電壓定律, 17 夾止, 270, 276 夾止區, 257 夾止電壓, 269, 270 夾止點, 256 完全游離, 128 完美的共模排斥, 65 步階, 96 步階響應, 87 汲取, 305 汲極, 251, 268 汲源飽和電流, 272 狄摩根定律, 44

8 劃

供應, 305 取樣, 26 取樣持有電路, 292 受體, 127 受體原子濃度, 128 受體離子濃度, 128 固態材料, 119 固態物理, 123 定電流源, 301

定電壓降模型, 154 延遲時間, 55 延遲率, 97 延遲率失真, 99 延遲率限制, 96 放大器增益, 27 放射係數, 149 注入效率, 187 波茲曼常數, 126, 134 波爾, 121 波德圖, 33 直流, 65 直流偏壓點, 30 直接耦合, 65 直接耦合元件, 65 直接耦合放大器, 389 矽, 120 矽晶片, 15 空乏式, 251 空乏式金氧半場效電晶體, 266 空乏區, 137 空乏區寬度, 139 空乏電容, 151 空間電荷, 137 空間電荷區, 137 表面反轉層, 253 表面反轉層, 253 金半場效電晶體, 276 金氧半場效電晶體, 251 金屬-氧化層-半導體, 251 阻抗準位, 66 阻抗轉換器, 71 非反相, 71 非反相積分器, 81 非反相輸入端, 64

中文索引 415

非線性失真, 27 非整流性接點, 162

9 劃

施體, 127 施體原子濃度, 127 施體離子濃度, 127 柏桑方程式, 139 相位平移, 83 相角響應, 32 相量, 219 穿隧, 166 突變接面, 139 負回授, 65, 278 負回授機構, 279 負阻抗轉換器, 80 負載線, 155 負載調節量, 165 負邏輯系統, 38 重疊原理, 76

10 劃

韋勒電流源, 305 乘積之和, 48 倒置理論, 190 原子核, 121 埋藏層, 194 射基接面, 183 射基接面電容, 220 射極, 183 射極旁路電容, 227 射極追隨器, 234 射極接地, 227 射極電阻, 217 射極耦合邏輯, 40, 313 峰值逆向電壓, 169

峰值整流器, 174 峰值檢測器, 174 差動放大器, 76, 313 差動增益, 65, 78 差動輸入轉導放大器, 87 差模輸入電阻, 103 差模半電路, 323 差模輸出, 317 差額輸入和單端輸出, 65 效率, 29 時域, 72 真值表, 40 砷, 120 砷化鎵, 36, 276 純質半導體, 119 純質載體濃度, 126 能帶, 124 能帶圖, 123 能量不滅原理, 29 能階, 124 能隙, 124 訊號, 25 訊號反轉, 67, 243 訊號失真, 26 訊號放大, 26 訊號接地, 215 訊號擺幅, 277 逆向主動, 184 逆向主動模式, 192 逆向偏壓, 142 高 3dB 頻率, 74, 360 高阻抗節點, 81 高通, 33 高通濾波器, 75 高電場速度飽和效應, 131

416 附錄 2

高態輸入, 56 高態輸出, 56 高雜訊邊限, 58

11 劃

偏移消除, 64 偏移電壓, 55, 107, 193, 332 偏壓, 209 偏壓電流, 161 基板, 251 基極, 183 基極充電電容, 221 基極穿越時間, 196 基極接地, 240 基極散佈電阻, 222 基極傳輸因子, 186 基極寬度, 183 基極寬度調變效應, 201 基體, 251 基體效應, 263 基體效應係數, 263 基體轉導, 284 崩潰區, 163 帶通濾波器, 115 帶溝, 124 接面, 137 接面二極體, 147 接面定律, 143 接面面積, 148 接面場效電晶體, 268 梯度係數, 152 毫伏特, 26 混合-π模型, 216 理想二極體方程式, 148 理想因子, 149

理想電壓源, 64 理想模型, 154 荷電載體, 135 通道, 253, 268 通道長度, 252 通道長度調變參數, 262 通道電阻, 269 通道寬度, 252 閉迴路增益, 66 閉迴路轉換函數, 71 閉路, 55 陶瓷, 40 麥克風, 25 硒, 120 硒化鋅, 120

12 劃 傅立葉級數, 31 傅立葉轉換, 31 最大項, 51 最小項, 50 單一時間常數, 33 單一時間常數低通響應, 91 單一極點響應, 364 單位晶胞, 121 單位增益放大器, 71 單位增益緩衝器, 87 單位增益頻率, 75 單位增益頻寬, 91, 365 單側, 141 單晶結構, 121 單端, 391 場氧化層, 194 晶片, 251 晶格, 121 晶格常數, 121

中文索引 417

游離能, 122, 127 焦耳, 124 發光二極體, 120 結合律, 46 絕緣體, 119 虛接地, 66 虛短路, 66 超大型積體電路, 40, 251 開迴路組態, 65 開路, 55 開路時間常數, 361 開路電壓增益, 59 階數, 32 集基接面, 183 集基接面電容, 220 集極, 183 集極接地, 234 順向主動, 184 順向主動模式, 191 順向偏壓, 142

13 劃 傳導電子, 122 傳導電流, 122 傳導電流密度, 134 傳輸閘, 292 傳輸零點, 32 塑膠, 40 微伏特, 26 微安, 18 微處理機, 15 微微法拉, 221 微電子學, 15 微電腦, 15 極點, 33 極點頻率, 33

源極, 251, 268 源極追隨器, 291 資訊, 25 載體, 122 運算放大器, 63, 313 閘, 56 閘氧化層單位面積的電容, 252 閘極, 251, 268 閘極至通道接面, 269, 271 隔絕島, 194 電子, 121 電子伏特, 124 電子電洞對, 125 電子電路, 15 電子遷移率, 120, 130 電池加電阻模型, 154, 164 電抗, 222 電阻反射定律, 230, 317 電阻係數, 119 電流尺寸因子, 196 電流追隨器, 244 電流密度, 131 電流增益, 27, 215, 302 電流緩衝器, 244 電流鏡, 301 電洞, 123 電域, 25 電荷中性, 128 電荷控制方程式, 145 電場強度, 123 電晶體-電晶體邏輯, 40 電源吸收, 306 電源轉換, 21 電路系統偏移, 113 電路接地, 27

418 附錄 2

電壓至電流轉換器, 81 電壓降模型, 154 電壓追隨器, 71 電壓控制電流源, 58 電壓增益, 27, 215 零點, 32 飽和, 184 飽和區, 255, 257, 274 飽和速度, 131 飽和電流增益, 198 飽和模式, 192

14 劃

截止, 184 截止區, 277 截止模式, 192 截波電路, 272 摻雜, 127 漏電流, 127 漸近響應, 34 端電流, 186 端電壓, 135 齊納二極體, 164 齊納並聯調節器, 165 齊納崩潰, 166 齊納電阻, 164

15 劃

儀表放大器, 78 價軌道, 122 價電帶, 124 增益頻寬乘積, 75, 357 寬頻放大器, 369 撞擊游離化, 166 數位至類比轉換器, 292 數位訊號, 26

標準差, 333 歐姆, 16 歐姆定律, 16 歐姆接點, 162 歐萊效應, 201 歐萊電壓, 201 熱平衡, 128 熱破壞, 209 熱產生, 125 熱電壓, 134, 148 磊晶層, 194, 276 線性放大器, 27 線性度, 26 線性斜波訊號, 97 線調節量, 165 緩衝放大器, 71 緩衝電路, 59 緩衝器, 234 耦合電容, 226 膝蓋點, 164 複數量, 32 複數頻率, 32 質子, 121 遷移速度, 130 遷移電流, 129 鋅, 120 導納, 32 導通電阻, 55, 293 導電帶, 124 導電率, 132 導體, 119

16 劃

操作點, 29, 155, 205 整流, 127

中文索引 419

整體空間電荷中性, 139 積分時間常數, 73 積體電路, 15, 120 積體電路放大器, 301 蕭特萊, 143, 147 蕭基二極體, 162 蕭基位障接面, 276 蕭基接點, 162 蕭基電晶體, 163 諾頓, 21 輸入共模電阻, 325 輸入共模範圍, 316 輸入差動電阻, 78 輸入差模電阻, 317 輸入偏移電流, 109, 334 輸入偏移電壓, 107, 113, 332 輸入偏壓電流, 109, 334 輸入電阻, 59, 228 輸出直流偏移電壓, 332 輸出電阻, 59, 219 輸出飽和電壓, 29 霍爾係數, 135 霍爾測量, 125, 135 霍爾電場, 135 霍爾電壓, 135 靜電位圖, 184 靜態點, 30 頻率補償, 64, 91 頻率響應, 32, 228 頻寬, 357 頻譜, 31 鍺, 120

17 劃

儲存時間, 145

壓力轉送器, 25 壓控開關, 55 壓控電阻, 253, 254 戴維寧, 21 擊穿, 201 檢視, 113 瞬時操作點, 30, 222 聲頻帶, 31

18 劃

臨界電壓, 253 擴展的和之乘積, 50 擴展的乘積之和, 49 擴散長度, 143 擴散率, 133 擴散電流, 130 擴散電容, 152 轉送器, 25, 78 轉換函數, 32 轉導, 58, 214 離子, 127 離子佈植, 195 離子佈植法, 266 雜訊放大者, 74 雙串疊, 343 雙埠網路, 27 雙載子接面電晶體, 147, 183 雙線包裝, 40 額定值, 209 額定輸出電壓, 95 鎵, 120

19 劃

穩定的操作點, 277 類比訊號, 25 類比電路, 26

420 附錄 2

類比積體電路, 313

21 劃

纍增崩潰, 166 纍增過程, 166

23 劃

疊代法, 156 變動率限制, 90

變量電阻, 160, 306, 311 變遷區域, 56 邏輯 0, 38 邏輯 1, 38 邏輯反相器, 55

27 劃

鑽石結構, 121

英文索引 421

附錄 3-英文索引

A

abrupt junction, 139 ac current gain, 215 ac equivalent circuit, 215 acceptor atom concentration, 128 acceptor ion concentration, 128 acceptor, 127 active mode, 186 active region, 276, 277 active, 28 admittance, 32 all-pass filter, 82 amplifier gain, 27 analog circuits, 26 analog integrated circuits, 313 analog signal, 25 As, 120 aspect ratio, 259 associative law, 46 asymptotic response, 34 audio band, 31 avalanche breakdown, 166 avalanche process, 166

B

band gap, 124 band pass filter, 115 bandwidth, 357 base charging capacitance, 221 base spreading resistance, 222 base transit time, 196 base transport factor, 187 base width, 183

base, 183 base-width modulation effect, 201 battery-plus-resistance model, 154, 164 bias current, 161 binary digital circuits, 38 bipolar junction transistor(BJT), 147,183 Bode plots, 33 body transconductance, 284 body, 251 body effect, 263 body-effect coefficient, 263 Bohr, 121 Boltzmann constant, 126, 134 Boolean algebra, 41 bootstrap follower, 238 breakdown region, 163 buffer amplifier, 71 buffer, 59, 234 built-in electric field, 136 built-in potential, 137 bulk resistance, 162 buried layer, 194

C capacitively-coupled, 365, 375 carriers, 122 cascode mirror, 311 cascode, 330 center-tapped, 169 ceramic, 40 channel length, 252 channel resistance, 269 channel width, 252 channel, 253, 268

422 附錄 3

channel-length modulation effect, 262 channel-length modulation parameter, 262 charge carrier, 135 charge control equation, 145 charge neutrality, 128 chip, 301 chopper circuit, 292 circuit ground, 27 closed -loop gain, 66 closed, 55 closed-loop transfer function, 71 collector, 183 collector-base junction(CBJ), 183 common- base current gain, 186 common base, 240 common ground, 63 common-base configuration, 188 common-collector common-base configuration, 394 common-collector configuration, 234 common-drain amplifier, 291 common-emitter configuration, 188 common-emitter current gain, 188 common-emitter short-circuit current gain, 363 common-mode error voltage, 102 common-mode gain, 100, 324 common-mode half-circuit, 324 common-mode input resistance, 103, 325 common-mode rejection ratio(CMRR), 101, 324 common-mode rejection, 65 common-mode voltage, 315 commutative law, 46 Complementary MOS(CMOS), 341 complete ionization, 128 complex frequency, 32 complex quantity, 32

conduction band, 124 conduction current density, 134 conduction current, 122 conduction electron, 122 conductivity, 132 conductor, 119 conjugate pairs, 33 constant current source, 301 constant-voltage-drop model, 154 contact resistance, 162 coupling capacitor, 226 covalent bonding, 122 current buffer, 244 current density, 131 current follower, 244 current gain, 27, 302 current mirror with multiple outputs, 305 current mirror, 301 current scale factor, 196 cutoff mode, 192 cutoff region, 277 cutoff, 184

D

dc bias point, 30 De- Morgan's laws, 44 decade, 34 decibels, 27 delay time, 55 delta interconnection, 19 depletion capacitance, 151 depletion layer width, 139 depletion region, 137 depletion-type MOSFET, 266 depletion-type, 251

英文索引 423

device transconductance parameter, 259 diamond structure, 121 dielectric constant, 146, 177 differential amplifier, 76, 313 differential gain, 65, 78 differential half circuit, 323 differential input resistance, 103 differential -input single-ended-output, 65 differential output, 317 differential-input transconductance amplifier, 87 diffusion capacitance, 152 diffusion current, 130 diffusion length, 143 diffusivity, 133 digital signal, 26 digital-to-analog converters, 292 dimension, 119 direct current, 65 direct-coupled amplifier, 389 direct-coupled devices, 65 direct-coupled, 65 discrete circuit, 15, 209, 278 discrete, 124 discrete-circuit amplifiers, 301 discrete-time signal, 26 distributive law, 49 dominant high- frequency pole, 368 dominant-pole approximation, 359 donior ion concentration, 127 donor atom concentration, 127 donor, 127 doped, 127 double cascade, 343 drain, 251 drain, 268

drain-to-source saturation current, 272 drift current, 129 drift velocity, 130 dual-in-line package(DIP), 140

E

Early effect, 201 Early voltage, 201 effective base width, 194 effective channel depth, 269 effective channel length, 276 effective time constant, 367 efficiency, 29 electric field intensity, 123 electrical domain, 25 electron mobility, 120, 130 electron, 121 electron-hole pair, 125 electronic circuits, 15 electronvolt(eV), 124 electrostatic potential diagram, 184 emission coefficient, 149 emitter bypass capacitor, 227 emitter follower, 234 emitter resistance, 217 emitter, 183 emitter-base junction(EBJ), 183 emitter-coupled logic(ECL), 313 energy band diagram, 123 energy bands, 124 energy level, 124 enhancement-type, 251 epitaxial layer, 276 expanded product-of-sums form, 50 expanded sum-of-products form, 49

424 附錄 3

extrinsic, 127

F

feedback, 65 Fermi potential, 263 field oxide, 194 flat gain, 83 forward active mode, 191 forward active, 184 forward-biased, 142 Fourier series, 31 Fourier transform, 31 frequency compensation, 64, 91 frequency response, 32, 228 frequency spectrum, 31 full power bandwidth, 99

G

Ga, 120 GaAs, 120, 276 gain-bandwidth product, 75, 357 gate, 251, 268 gate-to- channel junction(GCJ), 269, 271 generalized impedance converter(GIC), 85 George Simon Ohm, 16 Germanium, 120 grading coefficient, 152 grounded-base, 240 grounded-collector, 234 grounded-emitter, 227 Gustav Kirchhoff, 17

H

half-wave rectifier, 168 Hall coefficient, 135 Hall field, 135

Hall measurement, 126, 135 Hall voltage, 135 high noise margin, 58 high pass, 33 high-impedance node, 81 high-pass filter, 75 hole, 123 hybrid- π model, 216

I

IC chip, 40 ideal diode equation, 148 ideal model, 154 ideal voltage source, 64 ideality factor, 149 impact ionization, 166 impedance level, 66 impedance transformer, 71 in phase, 31, 64 incremental resistance, 306, 311 incremental resistance, 66, 160 inequality comparator, 47 information, 25 injection efficiency, 187 input bias current, 109, 334 input common-mode range(ICMR), 316 input differential resistance, 78, 317 input offset current, 109, 334 input offset voltage, 107, 332 input resistance, 59, 228 instantaneous operating point, 30, 222 instrumentation amplifier, 78 insulator, 119 integrated-circuit amplifiers, 301 integrated-circuit(IC), 15, 120

v

英文索引 425

integration time constant, 73 internally compensated, 91 intrinsic carrier concentration, 126 intrinsic semiconductor, 119 inverting configuration, 67 inverting input terminal, 67 ion implantation, 195, 266 ion, 127 ionization energy, 127 isolation island, 194 iteration method, 156

J

Joule, 124 junction area, 148 junction diode, 147 Junction Field-Effect Transistor(JFET), 568 junction, 137

K

Kirchhoff's current law(KCL), 17 Kirchhoff's law, 17 Kirchhoff's voltage law(KVL), 17 Knee, 164

L

large-scale integrated circuit(LSI), 40 lattice constant, 121 lattice, 121 law of the junction, 143 leakage current, 127 lifetime, 143 light-emitting diode, 120 line regulation(LIR), 165 linear amplifier, 27 linearity, 26

linearly ramping signal, 97 load line, 155 load regulation(LOR), 165 logic inverter, 55 low noise margin, 58 low pass, 33 lower 3dB frequency, 75, 359 low-injection condition, 147 low-pass filter, 74

M

magnitude response, 32 magnitude, 25, 32 majority carrier device, 163 majority carrier, 138 mass-action law, 128 maxterm, 51 medium-scale integrated circuit(MSI), 40 metal-oxide-semiconductor field-effect transistor(MOSFET), 251 metal-oxide-semiconductor, 251 metal-semiconductor field-effect transistor(MESFET), 276 microampere, 18 microcomputer, 15 microelectronics, 15 microphone, 25 microprocessor, 15 microvolt, 26 midband, 357 Miller effect, 368 Miller integrator, 73 Miller theorem, 22 Miller’s theorem, 287, 367 millivolt, 26

μ

426 附錄 3

minterm, 50 MOS diode, 251

N

National Technology Roadmap for Semiconductor(NTRS), 251 natural modes, 33 n-channel, 268 negative feedback, 65, 278 negative impedance converter(NIC), 80 negative logic system, 38 negative-feedback mechanism, 279 neutral base width, 194 neutron, 121 noise magnifier, 74 noninverting input terminal, 64 noninverting integrator, 81 noninverting, 71 nonlinear distortion, 27 nonrectifying contact, 162 normally-off device, 266 normally-on device, 266 Norton, 21 nucleus, 121

O

offset nulling, 64 offset voltage, 55, 107, 193, 332 ohmic contact, 162 Ohm's law, 16 on resistance, 55, 293 one-sided, 141 onresistance, 293 open, 55 open-circuit time constants, 361 open-circuit voltage gain, 59

open-loop configuration, 65 operating point, 29, 155, 205 operational amplifier(op amp), 63, 313 order, 32 out-of-phase, 31, 64 output dc offset voltage, 107 output resistance, 59, 219 output saturation voltage, 29 overall space charge neutrality, 139 overall voltage gain, 228

P

package, 40 peak detector, 174 peak inverse voltage(PIV), 169 peak rectifier, 174 permittivity, 139, 152 phase response, 32 phase shifter, 83 phasor, 219 pi interconnection, 19 pico farads, 221 piecewise linear model, 154 pinch-off point, 256 pinch-off region, 257 pinch-off voltage, 269, 270 pinch-off, 270, 276 plastic, 40 Poisson’s equation, 139 pole frequency, 33 poles, 33 polysilicon, 195, 251 positive feedback, 65 positive logic system, 38 power amplifier, 29

英文索引 427

power dissipation, 29 power gain, 28 pressure transducer, 25 primary winding, 170 principle of conservation of energy, 29 principle of superposition, 76 probe, 113 product-of-sums, 50 proton, 121 punch-through, 201 push-pull, 389

Q

quiescent point, 30

R

rated output voltage, 95 ratings, 209 reactance, 222 reciprocity theorem, 190 rectification, 127 resistance reflection rule, 230, 317 resistivity, 119 reverse active mode, 192 reverse active, 184 reverse current gain, 192 reverse saturation current, 148 reverse-biased, 142

S

sample-and-hold circuits, 292 sampling, 26 saturation current gain, 198 saturation mode, 192 saturation region, 255, 274 saturation velocity, 131

saturation, 184 Schottky barrier junction, 276 Schottky contact, 162 Schottky diode, 162 Schottky transistor, 163 Se, 120 secondary coil, 169 self-biasing circuit, 210 self-consistent solution, 205 Semiconductor Industry Association(SIA), 251 semiconductor, 119 semi-insulating substrate, 276 Shockley, 143, 147 signal amplification, 26 signal distortion, 26 signal ground, 215 signal inversion, 67, 243 signal swing, 277 signal, 25 silicon chip, 15 silicon dioxide, 251 silicon, 120 simulation program for integrated-circuit emphasis, 112 single-crystal structure, 121 single-ended, 391 single-pole response, 364 single-time-constant low-pass response, 91 single-time-constant(STC), 33 sinks, 305 slew-rate limiting, 96 slew rate, 97 slew-rate distortion, 99 slew-rate limitation, 90 small-scale integrated circuit(SSI), 40

428 附錄 3

small-signal approximation, 159 small-signal operation, 31 small-signal resistance, 160 solid-state materials, 119 solid-state physics, 123 source absorption, 306 source follower, 291 source transformation, 21 source, 251, 268, 305 space charge region, 137 space charge, 137 spike, 74 square-law, 261, 275 SR, 97 stable operating point, 277 standard deviation, 333 step response, 87 step, 96 storage time, 145 substrate, 251 sum-of-products, 48 surface inversion layer, 253 switch-capacitor filter, 292 switching threshold, 56 systematic offset, 113

T

tee interconnection, 20 terminal currents, 186 terminal voltage, 135 thermal equilibrium, 128 thermal generation, 125 thermal runaway, 209 thermal voltage, 134, 148 Thevenin, 21 threshold voltage, 253

time-domain, 72 total electrostatic potential, 142 total instantaneous diode voltage, 159 total instantaneous input, 30 transconductance, 58, 214 transducer, 78 transducers, 25 transfer function, 32 transition region, 56 transmission gate, 292 transmission zeros, 33 triode region, 255, 274 truth table, 40 tunnel, 166 turn-on voltage, 163 two-port network, 27

U

unit cell, 121 unity-gain amplifier, 71 unity-gain bandwidth, 91, 365 unity-gain buffer, 87 unity-gain frequency, 75 upper 3dB frequency, 74, 360

V

valence band, 124 valence orbital, 122 very-large-scale integration(VLSI), 40, 251 virtual ground, 66 virtual short circuit, 66 voltage controlled current source, 58 voltage- controlled switch, 55 voltage divider, 71 voltage follower, 71 voltage gain, 27, 215

英文索引 429

voltage-controlled resistance, 253 voltage-divider rule, 237 voltage-to-current converter, 81

W

weighted summer, 75 wideband amplifiers, 369 Widlar current source, 305 Wilson, 307, 312

Z

zener breakdown, 166 Zener diode, 164 zener shunt regulator, 165 zeros, 32 Zn, 120 ZnSe, 120