schematic diagrams - abcelectronique

15
DR-MV1BEK, DR-MV1BEU, DR-MV1SEF, DR-MV1SEK, DR-MV1SEU For disassembling and assembling of MECHANISM ASSEMBLY, refer to the SERVICE MANUAL No.86700(MECHANISM ASSEMBLY). F-1 POWER VCR EJECT DVD S-VIDEO VIDEO (MONO) L - AUDIO - R VCR/DVD TIMER VCR REC OPEN/CLOSE STOP PLAY REMAIN REC MODE SLOW << >> REC PAUSE REW FF CH DV IN REC LINK DVD TIMER DVD DVD REC VCR DUBBING PULL-OPEN PULL-OPEN PROG/CHECK DISPLAY ON SCREEN DVD TIMER VCR /DVD VCR TIMER SLOW PREVIOUS NEXT CLEAR SLOW PAUSE STOP/ PLAY/SELECT REMAIN REC CH AUDIO SUBTITLE ANGLE TV/VCR REC MODE LIVE CHECK DVD TV CABLE/DBS ABC DEF JKL MNO TUV GHI PQRS WXYZ NUMBER / TV CH / DVD CH NUMBER / TV CH / DVD CH MEMO/MARK PROGRESSIVE SCAN VCR PLUS+ AUX SET UP RETURN CANCEL NAVIGATION TOP MENU MENU TV VOL. TV/CBL/DVD TV/DVD TV TV/CBL/DBS /DVD 1 4 7 2 5 8 0 3 6 9 ENTER DR-MV1BEK, DR-MV1BEU, DR-MV1SEF, DR-MV1SEK, DR-MV1SEU [D3RV21] (EK model) (EU model) (EF model) (EF model) (EK, EU model) DVD VIDEO RECORDER & VIDEO CASSETTE RECORDER COPYRIGHT © 2004 Victor Company of Japan, Limited. No.YD014SCH 2004/6 CD-ROM No.SML200407 SCHEMATIC DIAGRAMS

Upload: khangminh22

Post on 24-Jan-2023

2 views

Category:

Documents


0 download

TRANSCRIPT

DR-MV1BEK, DR-MV1BEU,

DR-MV1SEF, DR-MV1SEK,

DR-MV1SEU

For disassembling and assembling of MECHANISM ASSEMBLY, refer to the SERVICE MANUAL No.86700(MECHANISM ASSEMBLY).

F-1

POWER

VCR EJECT

DVD

S-VIDEO VIDEO (MONO) L - AUDIO - R

VCR/DVD TIMER VCR REC OPEN/CLOSE STOP PLAY

REMAIN

REC MODE SLOW<< >>

REC PAUSE REW FF CH DV INREC LINK

DVD

TIMER DVD DVDREC VCR DUBBING

PULL-OPEN PULL-OPEN

PROG/CHECK DISPLAY ON SCREEN

DVDTIMER

VCR/DVD

VCRTIMER

SLOW

PREVIOUS NEXT

CLEAR

SLOW

PAUSESTOP/

PLAY/SELECT

REMAIN REC

CHAUDIO

SUBTITLEANGLE

TV/VCR

REC MODE

LIVE CHECK

DVDTVCABLE/DBS

ABC DEF

JKL MNO

TUV

GHI

PQRS WXYZ

NUMBER / TV CH / DVD CHNUMBER / TV CH / DVD CH

MEMO/MARK

PROGRESSIVE SCAN

VCR PLUS+

AUX

SET UPRETURN

CANCEL

NAVIGATION

TOP MENU MENU

TV VOL.

TV/CBL/DVDTV/DVD TV

TV/CBL/DBS/DVD

1

4

7

2

5

8

0

3

6

9

ENTER

DR-MV1BEK, DR-MV1BEU, DR-MV1SEF,

DR-MV1SEK, DR-MV1SEU [D3RV21](EK model)

(EU model)

(EF model)

(EF model)

(EK, EU model)

DVD VIDEO RECORDER & VIDEO CASSETTE RECORDER

COPYRIGHT © 2004 Victor Company of Japan, Limited. No.YD014SCH2004/6

CD-ROM No.SML200407

SCHEMATIC DIAGRAMS

!

CH

AR

TS

AN

D D

IAG

RA

MS

NO

TE

S O

F S

CH

EM

AT

IC D

IAG

RA

M

Sa

fety

pre

ca

uti

on

s

Th

e C

om

po

ne

nts

in

de

nti

fie

d b

y t

he

sy

mb

ol

are

cri

tic

al

for

sa

fety

. F

or

co

nti

nu

ed

sa

fety

, re

pla

ce

sa

fety

cri

tic

al

co

mp

on

en

ts o

nly

wit

h m

an

ufa

ctu

rer'

s r

ec

om

-

me

nd

ed

pa

rts

.

1.

Un

its

of

co

mp

on

en

ts o

n t

he

sch

em

ati

c d

iag

ram

Un

less o

the

rwis

e s

pe

cifie

d.

1)

All

resis

tan

ce

va

lue

s a

re in

oh

m. 1

/6 W

, 1

/8 W

(re

fer

to

pa

rts lis

t).

Ch

ip r

esis

tors

are

1/1

6 W

.

K: KΩ

(10

00Ω

), M

: MΩ

(1

00

0KΩ

)

2)

All

ca

pa

cita

nce

va

lue

s a

re in

µF,

(P

: P

F).

3)

All

ind

ucta

nce

va

lue

s a

re in

µH

, (m

: m

H).

4)

All

dio

de

s a

re 1

SS

13

3,

MA

16

5 o

r 1

N4

14

8M

(re

fer

to p

art

slis

t).

2.

Ind

ica

tio

ns

of

co

ntr

ol

vo

ltag

e

AU

X : A

ctive

at

hig

h.

AU

X o

r A

UX

(L)

: A

ctive

at

low

.

3.

Inte

rpre

tin

g C

on

ne

cto

r in

dic

ati

on

s

No

te:

If t

he

vo

ltag

es

are

no

t in

dic

ate

d o

n t

he

sch

em

ati

c

dia

gra

m, re

fer

to t

he

vo

ltag

e c

ha

rts

.

12

32.5

(5.0

)1.8

PB

and R

EC

modes

(Voltage o

f P

B a

nd R

EC

modes

are

the s

am

e)

PB

mode

RE

C m

ode

4.

Vo

ltag

e m

ea

su

rem

en

t

1)

Re

gu

lato

r (D

C/D

C C

ON

V)

circu

its

RE

C : C

olo

ur

ba

r sig

na

l.

PB

: A

lignm

ent ta

pe (

Colo

ur

bar)

.

—: U

nm

ea

su

rable

or

un

ne

ce

ssa

ry t

o m

ea

su

re.

2)

Ind

ica

tio

n o

n s

ch

em

atic d

iagra

m

Vo

lta

ge

in

dic

atio

ns fo

r R

EC

an

d P

B m

od

e o

n t

he

sch

e-

ma

tic d

iagra

m a

re a

s s

how

n b

elo

w.

5.

Sig

na

l p

ath

Sy

mb

ols

Th

e a

rrow

s in

dic

ate

th

e s

ign

al p

ath

as fo

llow

s.

NO

TE

: T

he

arr

ow

is

DV

C u

niq

ue

ob

jec

t.

Pla

yb

ack s

ign

al p

ath

Pla

yb

ack a

nd

re

co

rdin

g s

ign

al p

ath

Re

co

rdin

g s

ign

al p

ath

(in

clu

din

g E

-E s

ign

al p

ath

)

Ca

psta

n s

erv

o p

ath

Dru

m s

erv

o p

ath

(Exa

mp

le)

R-Y

Y

Pla

yb

ack R

-Y s

ign

al p

ath

Re

co

rdin

g Y

sig

na

l p

ath

6.

Ind

ica

tio

n o

f th

e p

art

s f

or

ad

jus

tme

nts

Th

e p

art

s fo

r th

e a

dju

stm

en

ts a

re s

urr

ou

nd

ed

with

th

e c

ircle

as s

how

n b

elo

w.

7.

Ind

icati

on

of

the p

art

s n

ot

mo

un

ted

on

th

e c

ircu

it b

oard

“OP

EN

” is

in

dic

ate

d b

y t

he

pa

rts n

ot

mo

un

ted

on

th

e c

ircu

it

bo

ard

.

R2

16

OP

EN

1 2 3 1 2 3 1 2 3

1

42

3

Rem

ova

ble

connecto

r

Wire s

old

ere

d d

irectly o

n b

oard

Non-r

em

ova

ble

Board

connecto

r

Board

to B

oard

Co

nn

ecte

d p

att

ern

on

bo

ard

The a

rrow

s indic

ate

sig

nal path

No

te:

Th

e P

art

s N

um

be

r, v

alu

e a

nd

ra

ted

vo

ltag

e e

tc. in

th

e S

ch

em

ati

c D

iag

ram

are

fo

r re

fere

nc

es

on

ly.

W

he

n r

ep

lac

ing

th

e p

art

s, re

fer

to t

he

Pa

rts

Lis

t.

No

te:

Fo

r th

e d

es

tin

ati

on

of

ea

ch

sig

na

l a

nd

fu

rth

er

lin

e

c

on

ne

cti

on

s t

ha

t a

re c

ut

off

fro

m t

he

dia

gra

m,

re

fer

to "

BO

AR

D I

NT

ER

CO

NN

EC

TIO

NS

"

CIR

CU

IT B

OA

RD

NO

TE

S

1.

Fo

il a

nd

Co

mp

on

en

t sid

es

1)

Foil

side (

B s

ide)

:

Part

s o

n the foil

sid

e s

een fro

m foil

face (

pattern

face)

are

indic

ate

d.

2)

Com

ponent sid

e (

A s

ide)

:

Part

s o

n the c

om

ponent sid

e s

een fro

m c

om

ponent fa

ce

(part

s face)

indic

ate

d.

2.

Part

s lo

cati

on

gu

ides

Part

s location a

re indic

ate

d b

y g

uid

e s

cale

on the c

ircuit b

oard

.

rts lo

cation a

re in

dic

ate

d b

y g

uid

e s

cale

on the c

ircuit b

oard

.

No

te:

Fo

r g

en

era

l in

form

ati

on

in

serv

ice m

an

ual, p

lease

refe

r to

th

e S

erv

ice M

an

ual o

f G

EN

ER

AL

IN

FO

RM

A-

TIO

N E

dit

ion

4 N

o. 82054D

(Jan

uary

1994).

LO

CA

TIO

NR

EF

No.

IC101

BC

6 A

ICC

ate

go

ry :

IC

Ho

rizo

nta

l “A

” zo

ne

Ve

rtic

al “6

” zo

ne

B :

Fo

il sid

e(A

: C

om

po

ne

nt

sid

e)

C :

Ch

ip c

om

po

ne

nt

D :

Dis

cre

te c

om

po

ne

nt)

2-1

2-2

A

12345

BC

DE

FG

p1

06

23

00

1a

_re

v0

BO

AR

D IN

TE

RC

ON

NE

CT

ION

S CN

6501

CN

7103

CN

6601

CN

2601

CN

7102

CN

7107

CN

7105

CN

3001

CN

3103

CN

7104

CN

5302

CN

5001

CN

501

CN

3901

FW

7001

CN

7202

CN

3401

CN

6003

CN

2201

CN

504

CN

1801

CN

4302

CN

7118

CN

7106

CN

7117

CN

6002

CN

7116

CN

6001

CN

8001

CN

7002

CN

7111

CN2002

CN5304CN5501

CN7201CN7112

CN502

CN5301

CN7119

CN1

CN3102

CN301

CN5303

CN7001

CN7114

CN7115

CN5311

CN7113

CN1901

CN2001

NC

I2C

_C

LK

I2C

_D

AT

A

TU

_M

UT

E

DE

MO

D[R

]

DE

MO

D[L

]

SW

5V

GN

D

CO

MP

SIF

QG

F1207C

1-0

4

R/P

A.E

CT

LF

.E

EP

CH

1E

PC

H2

SP

CH

1S

PC

H2

FM

AC

H2

FM

AC

H1

NC

I2C

_C

LK

I2C

_D

AT

A

TU

_M

UT

E

DE

MO

D[R

]

DE

MO

D[L

]

SW

5V

GN

D

CO

MP

SIF

QG

B1231L

1-1

1

QG

B1231M

1-1

5

QG

F1204C

1-0

9

QG

F1016C

3-0

4

CA

P.M

_F

G

CA

P.M

_V

cc

Vcc5

V

CA

P.M

_F

/R

LM

_V

CT

L

M_G

ND

S_G

ND

Vcc12V

CA

P.M

_V

CT

L

LM

_F

/R/S

DR

UM

_P

G/F

G

DR

UM

_V

CT

L

QG

B2032M

4-1

2

QG

B1231L1-1

5

QG

F1207-0

4

FA

N12V

GN

D

QG

A2001C

1-0

2

NE

UT

RA

L

LIV

E

QG

A7901C

3-0

2

QG

F1208F

1-0

4

GN

D

RE

SE

T

FW

E

AL5V

SIO

SII

QG

F1207C

1-0

6#

QG

D2503C

1-0

5

GN

D

RE

SE

T

FW

E

AL5V

SIO

SII

QG

F1207C

1-0

6#

AL5.8

V

SW

12V

SW

5V

SW

5V

BT

2

GN

D

GN

D

QG

F1207C

1-0

7

HD

_F

RO

M_P

DC

C_T

O_S

EC

AM

GN

D

Y_T

O_S

EC

AM

GN

D

Fsc_2

QG

F1208F

1-0

6#

GN

D

GN

D

GN

D

GN

D

GN

D

GN

D

TP

B-

TP

B+

TP

A-

TP

A+

QG

B2027M

1-1

0S

Fsc_2

GN

D

Y_T

O_S

EC

AM

GN

D

C_T

O_S

EC

AM

HD

_F

RO

M_P

DC

QG

F1207C

1-0

6Q

GF

1207C

1-0

7

QG

F1207C

1-0

4

QG

F1207C

1-1

2

GN

D

GN

D

TU

_A

UD

IO2

DE

MO

D2[R

]

DE

MO

D2[L

]

TU

_V

IDE

O2

TU

_M

UT

E2

SW

2-2

SW

1-2

TU

_I2

C_D

AT

A2

TU

_I2

C_C

LK

2

I2C

_D

AT

A2

I2C

_C

LK

2

QG

F1207C

1-1

2

QG

F1207C

1-1

4

I2C

_C

LK

1

DE

MO

D1[R

]

DE

MO

D1[L

]

GN

D

GN

D

TU

_V

IDE

O1

AF

C

RF

_A

GC

TU

_M

UT

E1

SW

2-1

SW

1-1

TU

_I2

C_D

AT

A1

TU

_I2

C_C

LK

1

I2C

_D

AT

A1

QG

F1207C

1-1

4

QG

B1231M

1-1

1

QG

F1209F

1-0

4

QG

F1204C

1-0

9

QGF1208F1-07

FULL_ERASE

GND

QGB2532J1-02

QGB1231L1-15QGB1231M1-15

QGF1209F1-13QGF1207C1-13

I2C_CLK1

I2C_DATA_AV1

I2C_CLK_AV1

I2C_DATA1

SYNC_DET_VDR[H]

SW5V

IF_SEL_YV_IN

GND

REAR2C_IN

GND

REAR1_C_IN

GND

TU_VIDEO1

FRONT_V_IN

FRONT_C_IN

FRONT_Y_IN

GND

Fsc

GND

V_FROM_OSD

QGG2503K2-20

QGB1231L1-15

QGF1207C1-15#

D_PG+

D_PG-

M_GND

D_COM

D_W_OUT

D_V_OUT

D_U_OUT

JP

BLK

RED

L1

L2

EP_CH1

COMMON

EP_CH2

SP_CH1

COMMON

SP_CH2

FMA_CH1

COMMON

FMA_CH2

QGF1201C2-09

QGF1207C1-11

SEPA_IN[H]

SECAM[L]

SECAM_DET

C.SYNC

EE[L]

SECAM_PB_COLOR

SW5V

V_TO_OSD

GND

SECAM_REC_COLOR

GND

PB_FM

GND

Fsc

SECSM[H]

QGF1207C1-15

QGA2501C1-04

QGF1207C1-11

GND

P50_I/O

SW12V

CH+12V

I2C_CLK1

I2C_DATA1

AL5V_2

VHS_V_OUT

AV1_YC_IN[H]

TU_VIDEO1

P.ON_P

DUB_TO_VHS

SCR[H]

IF_SEL_YV_IN

QGB2024K1-14S

GND

GND

GND

GND

GND

GND

GND

REAR2_C_IN

REAR1_C_IN

V/Y

G/Y

B/Pb

R/Pr

R/C

SW5V

REAR2_V_IN

REAR1_V_IN

QGB2024K1-17S

QGB1231M1-15

DEC_OUT[L]

DEC_OUT[R]

GND

GND

GND

LINE_OUT[R]

A.MUTE[L]

A.IN1[L]

A.IN1[R]

A.IN2[L]

A.IN2[R]

LINE_OUT[L]

GND

GND[A]

QGB2024K1-14S

PB

REC

AUDIO_ERASE

GND

CTL_HEAD[-]

CTL_HEAD[+]

QGF1207C1-06

VV

IDE

O/N

.AU

DIO

Page

2-1

9

Sheet 8

ON

SC

RE

EN

P

age

2-2

1

Sheet 9

FM

A

Page

2-2

3

Sheet 10

AU

DIO

I/O

Page

2-2

5

Sheet 11

VD

R S

YS

CO

N

Page

2-2

7

Sheet 12

VH

S S

YS

CO

N

Page

2-2

9

Sheet 13

TE

RM

INA

L

Page

2-3

1

Sheet 14

DE

MO

D P

age 2-3

7 S

heet 1

6

TU

NE

R P

age 2-3

5 S

heet 17

Page 2-3

3

Sheet 1

5P

age 2-5

S

heet 2

Page 2-3

9S

heet 1

8

Page 2-3

9S

heet 1

8P

age 2-3

9S

heet 1

8

Page 2-4

3

Page 2-4

1

VID

EO

P

ag

e

2-4

5 S

he

et

21

AU

DIO

AD

/DA

P

ag

e

2-4

7 S

he

et

22

V

IDE

O I

F

Pa

ge

2

-7

Sh

ee

t 2

FL

AS

H

Pa

ge

2

-9

Sh

ee

t 3

MA

DIA

PR

OC

ES

SO

R

Pa

ge

2

-11

S

he

et

4D

DR

SD

RA

M

P

ag

e

2-1

3

Sh

ee

t 5

13

94

PH

Y

Pa

ge

2

-15

S

he

et

6ATA

PII

F

P

ag

e

2-1

7

Sh

ee

t 7

2-3

2-4

A

12345

BC

DE

FG

!

!

!!

!

!

!

!

!

!

!

!

!

T5

00

1

R5

32

6

D5

20

1

C5

00

2

D5

20

9

D5

10

4

D5

10

6

D5

10

5

R5

30

5

D5

00

1

D5

30

6

D5

20

4

D5

30

9

Q5

30

6

Q5

30

9

C5

20

6

R5

30

1

R5

30

3

L5

20

7

R5

30

6

C5

10

4

R5

10

9

C5

30

2

C5

30

1

C5

20

3

D5

20

7

C5

00

1

IC5

30

1

L5

20

2

R5

30

4

R5

30

9

IC5

30

2

Q5

30

4

D5

21

2

B5

30

5

D5

21

0

D5

30

7

R5

10

3

D5

10

1

C5

20

9

C5

30

3

R5

10

7

R5311

B5

00

1

C5

20

2

D5

30

1

D5

30

8

C5

10

2

PC

51

01

B5

30

1

LF

50

02

C5

00

3

R5

10

8

C5

10

5

R5

10

6

C5

10

3

R5

10

5

R5

10

1

D5

21

3

C5

20

4

R5

31

3

Q5

31

3

CP

53

01

Q5

30

3

VA

50

01

R5

30

2

C5

10

1

B5

30

2

CN

53

03

R5

30

8

Q5

31

4

CN

53

04

C5

30

4

L5

20

1

C5

30

7

D5

20

8

R5

32

8

R5

32

9

C5

31

3

R5

32

7

C5

21

0

R5

31

8

C5

00

4

R5

32

5

C5

30

8

R5

00

1

Q5

31

0

Q5

30

5

C5

31

5

F5

00

1C

N5

00

1

R5

33

0

D5

20

5

R5

31

4

D5

30

4

CN

53

02

CN

53

01

TO

MA

IN(T

ER

MIN

AL

)C

N5

31

1S

HE

ET

14

TO

JU

NC

TIO

N(V

IDE

O)

SH

EE

T 2

1

TO

FA

N

TO

DV

D U

NIT

R5323

C5

20

7

R5

32

0

R5

32

1

D5

31

2

B5

30

3

C5

30

6

C5

31

4

L5

20

6

Q5

30

7R

53

15

R5

31

6

D5

21

1

R5

32

4

Q5

30

8

L5

20

5

B5304

C5

20

1

R5

32

2

D5

20

2

L5

30

1

L5

30

2

Q5315

Q5

30

1

D5

30

2

C5

31

6

C5

10

6

IC5

10

1

D5

31

3

R5

30

7

C5

00

5

C5

30

9

D5

20

6

Q5

30

2

C5

31

2

C5

31

0

D5

30

3

R5

31

2

R5

31

0

R5

31

9

C5

31

1

L5

20

4

C5

20

8

R5

31

7

D5

20

3

C5

20

5

C5

30

5

R5

10

2

R5

10

4C

51

07

VA

50

03

SG

50

01

QQ

S0

24

5-0

01

1/4

W

FR

1S

R1

56

-40

0#

/25

0

##

RK

34

1S

S1

33

1S

S2

70

A

D3S

BA

60

GB

J4

J

RK

34

D1F

S4A

AW

04

1S

4

2S

C5

73

9/Q

P/

2S

A1

58

5S

/QR

/

##

/10

/1k

1/4

W

/50

/50

/16

D1F

S4A

/25

0

L5

43

1M

M1431A

TU

TC

TL

43

1

IO

Gre

fS

W

PQ

5E

V3

UM

D12N

XP

43

13

D1F

S4A

#

1F

4G

10E

RB

20

ER

A1

8-0

2P

G1

04

RS

1S

R1

53

-40

0

RK

34

2W SA

RS

01

/50

/16

##

#

/10

0

MT

ZJ1

5A

RD

15E

S/B

1/

RK

34

/1k

PC

12

3Y

22

LT

V-8

17

M/B

C/

#

#

1W

/50

/35

1F

4G

10E

RB

20

ER

A1

8-0

2A

U0

1Z

1S

R1

53

-40

0

2W

1F

4G

10E

RB

20

ER

A1

8-0

2A

U0

1Z

1S

R1

53

-40

0

/16

##

/12

5C

P

2S

A1

57

6A

/QR

/

##

QA

F0

03

9-4

31

QA

F0

02

4-4

31

QA

F0

02

3-4

31

QA

F0

05

5-4

31

#

/1k

#

DV

12

V

DV

5V

GN

D

GN

D

##

/16

/10

RK

34

##

##

/50

##

/6.3

/25

0

#

/10

1/2

W

##

UM

D12N

XP

43

13

##

2S

D2

14

4S

/UV

/2S

C3576-J

VC

/50

/25

0

##

RK

34

MT

ZJ5

.6C

RD

5.6

ES

/B3

/

FA

N

GN

D

BT

2

45

V

SW

12

V

P.C

TL[H

]

AL

5.8

V

P.S

AV

E[L

]

GN

D[M

]

GN

D[M

]

GN

D

SW

5V

GN

D

M2

6V

M1

2V

SW

-7V

##

/6.3

##

##

1S

S1

33

1S

S2

70

A

##

/10

/6.3

2S

A1

58

5S

/QR

/

1/2

W

1F

4G

10E

RB

20

ER

A1

8-0

2

DT

C1

14

EU

AU

N5211

RN

1302

PD

TC

114E

U

/35#

##

1S

R1

56

-40

0

SH

OR

T

SH

OR

T

##

2S

C5

73

9/Q

P/

2S

D2

39

4/E

F/

#

MT

ZJ2

7D

RD

27E

S/B

4/

#

OP

EN

/50

OC

P/

FB

SD

Vcc

GN

D

ST

R-G

66

53

-F9

1A

3G

10E

DB

20

ER

A1

5-0

2

#

/25

0

#

/35

#

RK

34

##

DT

A1

44

WU

AU

N5

11

ER

N2

30

9P

DT

A1

44

WU

#

/10

/50

MT

ZJ1

1C

RD

11

ES

/B3

/

#

##

/10

/10

RL

2Z

/10

/10

1F

4G

10E

RB

20

ER

A1

8-0

2A

U0

1Z

1S

R1

53

-40

0

1F

4G

10E

RB

20

ER

A1

8-0

2A

U0

1Z

1S

R1

53

-40

0

/50

QA

F0

03

9-4

31

QA

F0

02

4-4

31

QA

F0

02

3-4

31

QA

F0

05

5-4

31

#

FR

MYMY

47

0.0

22

24

k

12

00

22

0

1.5

k

33µ

3.9

k

47

0p

47

0.0

33

0.1

5

12

00

0.0

68

33µ

6.8

k

1k

68

k

18

10

0

68

0

27

k4

.7

47

00

p

0.3

3

47

0p

3.9

k

27

68

68

k

68

0

10

k

1.5

A

4.7

k

10

0p

1.2

k

10

0

33µ

10

0

1k

10

k

47

0p

10k

22

0

47

k

8.2

10

0

4.7

M

0.0

1

1k

47

0

15

00

5.6

k

10

0

10

0

33µ

39

10k

47

k

33µ

12

0

1k

0.0

1

4.7

k

10

0p

47

100

2.2

10

k

27

k

4.7

k

10

0

33µ

10

00

1k

15

00

10

0

1.2

k

1k

22

0p

IC5501

IC5502

IC5503

CN

55

01

D5501

C5512

C5515

C5503

C5506

C5509

C5507

C5504

C5501

D1.8

V

D2.5

V

B5501

Q5504

GN

D

D5V

SW

5V

[2]

D3.3

V

V3.3

V

SW

12V

SW

-7V

-29V

DC

[-]

DC

[+]

C5510

C5513

IC5504

C5511

C5514

C5508

C5505

C5502

Q5501

R5501

R5503

R5502

D5502

D5503

Q5502

R5504

Q5503

B5502

R5505

IC5505

Vin

GN

D

GN

DG

ND

Cont

Cn

NC

Vout

MM

1662G

H

Vin

GN

D

GN

DG

ND

Cont

Cn

NC

Vout

MM

1565A

F

Vin

GN

D

GN

DG

ND

Cont

Cn

NC

Vout

MM

1565A

F

1A

3G

10E

DB

20

/50

/50

/50

/50

/50

/10

/10

/10

##

UN

5211

DT

C114E

UA

/10

/10

Vout

NC

GN

D

Cn

Cont

Sub

VinM

M1563E

F

/6.3 /6.3

/10/1

0

/6.3

2S

D1819A

/QR

S/

2S

C4081/Q

RS

/2P

C4081/R

/

1/2

W1/2

W

1S

S133

1S

S270A

MT

ZJ27C

RD

27E

S/B

3/

UN

5111

DT

A114E

UA

2S

D2144S

/UV

/2S

C3576

##

Sub

Cont

Cn

GN

D

NC

Vout

Vin

MM

1563D

F

470p

470p

470p

470p

470p

111

1

1

100

100

100

100

100

820

1k

680

1k

2.2

k

GR

OU

ND

PO

INT

FO

RP

RIM

AR

Y V

OLT

AG

E

GR

OU

ND

PO

INT

FO

RS

EC

ON

DA

RY

VO

LTA

GE

DA

NG

ER

OU

S V

OLTA

GE

SW

.RE

G A

ND

JU

NC

TIO

N S

CH

EM

AT

IC D

IAG

RA

MS

p2

03

71

00

1a

_re

v0

SH

EE

T 1

2-5

2-6

A

12345

BC

DE

FG

DIG

ITA

L(V

IDE

O I

F)

02

R1003

TL1097

Q1001

V3.3

V

C1067

RA

1002

D2.5

V

D1.8

V

GN

D

C1058

C1036

C1037

RA

1005

RA

1003

RA

1001

VID

EO

_27M

K1020

LC

1003

TL1001

C1051

CN

1001

CN

1002

R1035

R1038

R1041

C1052

R1044

R1048

VI_

D[2

-9

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

]

LC

1004

R1034

R1052

RA

1004

K1001

K_B

US

_R

EQ

SY

S_R

ES

ET

[L]

K_B

US

_O

UT

K_B

US

_IN

K_B

US

_C

LK

VO

_D

[0-1

5]

R1004

A_D

AC

_C

S

SP

I_M

OS

I

SP

I_C

LK

VID

EO

_R

XD

SP

I_M

OS

I

SP

I_C

LK

VID

EO

_C

S

C1078

DA

C_R

ST

[L]

A_M

UT

E2[H

]

C1079

C1070

AO

_D

[0]

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

TO

JU

NC

TIO

N(V

IDE

O)

CN

7109

SH

EE

T 2

1

TO

JU

NC

TIO

N(V

IDE

O)

CN

7108

SH

EE

T 2

1

R1033

AO

_S

CLK

R1006

AO

_F

SY

NC

AO

_IE

C958

AO

_M

CLK

O

AI_

D[0

]

C1063

C1041

C1062

C1071

R1005

K1016

IC1002

C1065

R1007

R1009

C1047

TL1098

D5.0

V

C1003

C1002

C1001

R1024

C1046

C1042

TL1099

VID

EO

_R

ST

[L]

R1046

C1032

C1033

R1050

TL1031

C1004

C1095

R1010

C1034

C1044

C1043

C1045

R1030

R1031

R1032

D3.3

V

L1004

TL1032

480I[H

]

C1035

TL1035

C1093

R1028

R1022

P_C

TL[H

]

DIG

I3.3

V

B1007

B1008

C1096

K1019

VD

DI1

.8

R1057

Q1009

R1045

Q1005

R1047

B1004

R1068

R1056

TL1003

Q1007

R1053

R1069

R1036

Q1002

R1037

R1021

R1070

Q1010

R1039

R1049

Q1003

Q1006

R1040

R1051

GN

D

Q1011

R1042

Q1004

R1043

VID

EO

_M

UT

E[H

]

C1098

C1097

R1066

R1067

R1062

R1054

R1065

C1038

C1039

IC1001

B1001

R1055

R1059

R1019

R1017

R1071

C1094

R1027

C1040

C1087

C1060

C1089

C1090

LC

1001

LC

1002

C1066

TL1005

C1069

C1072

C1073

C1074

C1075

C1077

C1076

C1054

C1055

C1056

K1002 K1003

K1004

K1005

K1006

K1007

K1008

K1009

K1010

K1011

K1012

K1017

C1061

K1014

K1015

K1013

K1018

TL1092

C1091

TL1009

TL1011

TL1018

TL1020

TL1002

TL1006

TL1014

Q1008

C1092

C1059

C1057

TL1010

C1088

C1005

C1006

C1007

C1008

C1009

C1012

C1013

C1014

C1015

C1016

C1020

C1021

C1025

C1024

C1026

C1027

C1028

C1029

C1030

C1031

TL1033

TL1034

DA

C_C

VB

S_O

UT

DA

C_S

Y_O

UT

DA

C_S

C_O

UT

DA

C_Y

_O

UT

DA

C_P

B_O

UT

DA

C_P

R_O

UT

RA

1006

C1053

C1049

C1048

R1061

R1060R1002

R1015

R1014

R1013

R1072

C1050

D1001

D1002

R1012

R1020C1023

C1017

R1001

C1018

R1029

R1018

C1019

TL1093

TL1091

C1022

C1010

C1011

UN

221E

-XD

TC

144W

KA

-XR

T1N

44H

C-X

##

OP

EN

OP

EN

OP

EN

NQ

R0129-0

02X

OP

EN

/6.3

T

CR

CB

Y C RY

##

OP

EN

##

RC

##

SH

OR

T

OP

EN

OP

EN

OP

EN

OP

EN

/6.3

T

##

OP

EN

SH

OR

T

DQ

0

DQ

1

VS

SQ

VD

DQ

VD

DQ

VD

DQ

VD

DQ

DQ

2

DQ

3

DQ

4

DQ

5

VS

SQ

VS

SQ

VS

SQ

DQ

6

DQ

7

LD

QM

WE

( L)

CA

S(

L)

RA

S(

L)

CS

( L)

BA

A10/A

P

A0

A1

A2

A3

VS

S

VS

S A4

A5

A6

A7

A8

A9

N.C

CK

E

CLK

UD

QM

N.C

/RF

UDQ

8

DQ

9

DQ

10

DQ

11

DQ

12

DQ

13

DQ

14

DQ

15

VD

D

VD

D

HY

57V

161610D

TC

8K

4S

161622D

-TC

80

/6.3

T/6

.3T

_0.5

%

_0.5

%

_0.5

%

/6.3

OP

EN

_0.5

%

_0.5

%

SH

OR

T

##

OP

EN

_0.5

%

####

_0.5

%

_0.5

%

_0.5

%

_0.5

%

##

##

_0.5

%

_0.5

%

##

_0.5

%

OP

EN

_0.5

%

VC

2

VC

3

VC

0

VC

1

0V

DD

I

0V

SS

DA

Y_C

OM

P

DA

Y_V

RE

F

DA

Y_A

VS

S1

DA

Y_V

RO

DA

Y_A

VD

D1

DA

YO

DA

Y_A

VD

D2

DA

Y_A

VS

S2

DA

CB

_A

VS

S2

DA

CB

_A

VD

D2

DA

CB

O

DA

CB

_A

VD

D1

DA

CB

_C

OM

P

DA

CB

_A

VS

S1

DA

CR

_A

VS

S1

DA

CR

_C

OM

P

DA

CR

_A

VD

D1

DA

CR

O

DA

CR

_A

VD

D2

DA

CR

_A

VS

S2

DA

RC

_A

VS

S1

DA

RC

_A

VD

D1

DA

RC

O

DA

RY

O

DA

RY

_A

VD

D1

DA

RY

_A

VS

S1

DA

RY

_V

RE

F

DA

RY

_V

RO

DA

CO

DA

C_A

VD

D1

DA

C_A

VS

S1

DA

C_V

RE

F

DA

C_V

RO

0V

DD

I

0V

SS

BLK

1

BLK

2

BLK

3Y

SI3

YS

I6

YS

I2

YS

I5

CS

I2

CS

I1

CS

I0

VS

S

VD

DI

CS

I5

CS

I4

CS

I3

VD

DI

0V

DD

E

ZC

NT

CS

I7

CS

I6

VS

S

0V

DD

E

MO

NI2

RE

SH

D

MO

NI1

CS

O0

RE

SV

D

CS

O1

0V

DD

I

VS

S

CS

O2

CS

O3

VD

CV

F

0V

SS

VD

DE

YS

O0

YS

O1

CS

YN

C

0V

DD

I

VS

S

YS

O2

YS

O3

HD

CV

F

HD

OU

T

CLK

OS

D

VD

OU

T

OU

TH

JC

P8059

_0.5

%

##

_0.5

%

OP

EN

OP

EN

OP

EN O

PE

N

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

SH

OR

T

NQ

R0129-0

02X

SH

OR

T

NQ

R0129-0

02X

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

NQ

R0129-0

02X

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

UM

Z1N

BC

847P

NB

C846P

N

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OPEN

OPEN

OPEN

OPEN

1SS355

1SS355

OPENOPEN

_0.5%

SIMTST

SCLK

CS

SDIN

TRST

TMS

TCK

0VDDE

TDI

TDO

SDOUT

0VSS

ADY_RL

ADYI

ADY_RH

ADY_AVDD1

ADY_AVSS1

ADY_AVDD2

ADY_AVSS2

ADC_RL

ADCI

ADC_RH

ADC_AVDD1

ADC_AVSS1

ADC_AVDD2

ADC_AVSS2

VSS

VDDE

RST

AMUTE

ANATST

0VDDI

PLL_VSS

CLK

VPD

PLL_RST

0VDDI

INV

INH

0VSS

YSI1

YSI4

YSI7

YSI0OUTV

CAS

RAS

WE

A2

A1

A0

0VDDI

A5

A4

A3

0VSS

0VDDE

A8

A7

A6

VDDI

VSS

LUDQM

A10

A9

0VDDE

0VDDI

DQ0

DQ1

DQ2

VSS

VDDE

DQ3

DQ4

DQ5

0VSS

0VDDE

DQ6

DQ7

DQ8

0VDDI

DQ9

DQ10

DQ11

DQ12

DQ13

DQ14

DQ15

OPEN

OPEN

OPEN

0

0

0.1

10k

10k

0

10

0 0 0

0.1

0

0

10k

0

10k

0

470

0

10

0.0

1

10k

4.7

10k

10k

0.1

0.1

10

22

2.7

k

0.1

0.1

3.3

k

0.1

0.1

1.5

k

0.1

10

0.1

0.1

0.1 0.1

10k

10k

10k

10µ

0.1

0.1

2.7

k

1.5

k

0.1

33

1k

2.2

k

2.2

k

1k

2.2

k

1k

100

3.3

k

1.2

k

1k 1k

100

270

1k

150

0.1

0.1

100

120

3.3

k

120

0.1

0.1

180

470

0 0

1.5

k

0.1

2.7

k

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.10.1

0.1

0.1

0.1

0.1

10k

22k

22k

0

0

0

0

0

0

0.1

220

0.1

0

0

0.01

27M

_V

IDE

O

D5.0

V

D3.3

V

VO

_D

[10]

VO

_D

[9]

VO

_D

[8]

VO

_D

[13]

VO

_D

[12]

VO

_D

[11]

VO

_D

[15]

VO

_D

[14]

YS

O3

YS

O3

YS

O2

YS

O1

YS

O0

CS

O3

CS

O2

CS

O1

CS

O0

YS

O2

YS

O1

YS

O0

CS

O3

VO

_D

[0-1

5]

CS

O2

CS

O1

CS

O0

VI_

D[2

-9]

UD

QM

VI_

D[9

]

VI_

D[8

]

VI_

D[7

]

VO

_D

[3]

VO

_D

[6]

VO

_D

[2]

VO

_D

[5]

VI_

D[6

]

VI_

D[5

]

VI_

D[4

]

VI_

D[3

]

VI_

D[2

]

DQ

0

DQ

1

DQ

2

DQ

3

DQ

4

DQ

5

DQ

6

DQ

7

WE

CA

S

RA

S

AP

A0

A1

A2

A3

DQ

15

DQ

14

DQ

13

DQ

12

DQ

11

DQ

10

DQ

9

DQ

8

A9

A8

A7

A6

A5

A4

27M

_S

DR

AM

27M_8059

UDQM

A0

A1

A2

VO_D[1]

VO_D[4]

A3

A4

VO_D[7]

VO_D[0]

DQ15

DQ14

DQ13

DQ12

DQ11

DQ10

DQ9

DQ8

DQ7

DQ6

DQ5

DQ4

DQ3

DQ2

DQ1

DQ0

A5

A6

A7

A8

A9

AP

WE

RAS

CAS

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

DIG

ITA

L( V

IDE

O I

F)

SC

HE

MA

TIC

DIA

GR

AM

p1

05

93

00

1a

_re

v0

SH

EE

T 2

2-7

2-8

A

12345

BC

DE

FG

DIG

ITA

L(F

LA

SH

)0

2

IC1201

CN

1202

B1205

TL1216

UA

RT

2_

RX

UA

RT

2_

TX

IC1202

IC1203

MA

DD

[1-5

]

B1206

R1227

R1228

RA

1203

GN

D

R1221

R1220

R1219

R1218

R1217

C1207

C1206

WA

IT[L

]

C1208

TL1217

DT

AC

K[L

]

TO

ME

DIA

PR

OC

ES

SO

R

SH

EE

T 4

TO

ME

DIA

PR

OC

ES

SO

R

SH

EE

T 4

B1207

MA

DD

[22

]

B1208

TL1215

RA

1202

R1222

D3.3

V

K1

201

C1204

R1216

R1223

RA

1201

B1204

R1

22

5

B1202

CS

[0]

CS

[1]

E5

_R

ES

ET

[L]

R1224

C1203

AL

E

UW

E[L

]/U

DS

[L]

ELIN

K_IN

T[L

]

MA

DD

[6-2

1]

RA

1204

RD

/WR

[L]

OE

[L]/LD

S[L

]

R1229

R1230

R1231

R1226

A15

A14

A13

A12

A11

A10

A9

A8

A21

A20

WE

RE

SE

T

AC

C

WP

A19

A18

A17

A7

A6

A5

A4

A3

A2

A1

A0

CE

VS

S

OE

DQ

0

DQ

8

DQ

1

DQ

9

DQ

2

DQ

10

DQ

3

DQ

11

VC

C

DQ

4

DQ

12

DQ

5

DQ

13

DQ

6

DQ

14

DQ

7

DQ

15

VS

S

VC

CQ

A16

64M

BIT

FLA

SH

ME

MO

RY

MB

PL65LM

90T

N

DT

AC

K[L

]/W

AIT

[L]

ME

DU

SA

_IN

T[L

]

OE

[L]/LD

S[L

]

UW

E[L

]/U

DS

[L]

RD

/WR

[L]

GN

D

GN

D

TO

ELIN

K B

OA

RD

UA

RT

2_C

TS

UA

RT

2_R

X

UA

RT

2_T

X

UA

RT

2_R

TS

CS

_L[1

]

ALE

RS

T[L

]

MA

DD

[5]

MA

DD

[4]

MA

DD

[3]

MA

DD

[2]

MA

DD

[1]

MA

DD

[21]/M

DT

[15]

MA

DD

[20]/M

DT

[14]

MA

DD

[19]/M

DT

[13]

MA

DD

[18]/M

DT

[12]

MA

DD

[17]/M

DT

[11]

MA

DD

[16]/M

DT

[10]

MA

DD

[15]/M

DT

[9]

MA

DD

[14]/M

DT

[8]

GN

D

MA

DD

[13]/M

DT

[7]

MA

DD

[12]/M

DT

[6]

MA

DD

[11]/M

DT

[5]

MA

DD

[10]/M

DT

[4]

MA

DD

[9]/M

DT

[3]

MA

DD

[8]/M

DT

[2]

MA

DD

[7]/M

DT

[1]

MA

DD

[6]/M

DT

[0]

OP

EN

OE

Q0

D0

D1

Q1

Q2

D2

D3

Q3

GN

D

VC

C Q7

D7

D6

Q6

Q5

D5

D4

Q4

LE

SN

74LV

C373A

PW

-X

OE

Q0

D0

D1

Q1

Q2

D2

D3

Q3

GN

D

VC

C Q7

D7

D6

Q6

Q5

D5

D4

Q4

LE

SN

74LV

C373A

PW

-X

OP

EN

OP

EN

OP

EN

SH

OR

T /6.3

OP

EN

100

100

10k

100

100

100

100

100

0.1

0.1

10k

0‘

47

100

4.7

k

10k

10

k

4.7

k

0.1

10k

4.7k

4.7k

4.7k

4.7k

MA

DD

[6-2

1]

GN

D

LH

_A

R[2

1]

D3.3

V

MA

DD

[6]

MA

DD

[7]

MA

DD

[8]

MA

DD

[9]

MA

DD

[10

]

MA

DD

[11

]

MA

DD

[12

]

MA

DD

[13

]

MA

DD

[1-5

]

LH

_A

R[6

]

LH

_A

R[7

]

LH

_A

R[8

]

LH

_A

R[9

]

LH

_A

R[1

3]

LH

_A

R[1

2]

LH

_A

R[1

1]

LH

_A

R[1

0]

MA

DD

[14]

MA

DD

[15]

MA

DD

[16]

MA

DD

[17]

LH

_A

R[1

4]

LH

_A

R[1

5]

LH

_A

R[1

6]

LH

_A

R[1

7]

LH

_A

R[2

1]

LH

_A

R[2

0]

LH

_A

R[1

9]

LH

_A

R[1

8]

MA

DD

[21

]

MA

DD

[20

]

MA

DD

[19

]

MA

DD

[18

]

LH

_A

R[2

2]

MA

DD

[5]

MA

DD

[3]

MA

DD

[1]

MA

DD

[20]

LH

_A

R[4

]

LH

_A

R[3

]

MA

DD

[18]

MA

DD

[16]

MA

DD

[14]

MA

DD

[13]

MA

DD

[11]

MA

DD

[9]

MA

DD

[7]

LH

_A

R[1

7]

LH

_A

R[2

]

MA

DD

[5]

MA

DD

[14]

MA

DD

[15]

MA

DD

[16]

MA

DD

[17]

MA

DD

[18]

MA

DD

[19]

MA

DD

[20]

MA

DD

[21]

MA

DD

[9]

MA

DD

[8]

MA

DD

[7]

MA

DD

[6]

MA

DD

[13]

MA

DD

[12]

MA

DD

[11]

MA

DD

[10]

MA

DD

[15]

MA

DD

[7]

MA

DD

[14]

MA

DD

[6]

LH

_A

R[1

]

MA

DD

[4]

MA

DD

[3]

MA

DD

[2]

MA

DD

[1]

LH

_A

R[8

]

LH

_A

R[1

8]

LH

_A

R[1

9]

LH

_A

R[2

0]

LH

_A

R[9

]

LH

_A

R[1

0]

LH

_A

R[1

1]

LH

_A

R[1

2]

LH

_A

R[1

3]

LH

_A

R[1

4]

LH

_A

R[1

5]

LH

_A

R[1

6]

LH

_A

R[7

]

LH

_A

R[6

]

LH

_A

R[5

]

MA

DD

[8]

MA

DD

[16]

MA

DD

[9]

MA

DD

[17]

MA

DD

[10]

MA

DD

[18]

MA

DD

[11]

MA

DD

[19]

MA

DD

[12]

MA

DD

[20]

MA

DD

[13]

MA

DD

[21]

MA

DD

[21]

MA

DD

[19]

MA

DD

[17]

MA

DD

[4]

MA

DD

[15]

MA

DD

[12]

MA

DD

[10]

MA

DD

[8]

MA

DD

[6]

MA

DD

[2]

LH

_A

R[1

]

LH

_A

R[2

]

LH

_A

R[3

]

LH

_A

R[4

]

LH

_A

R[5

]

LH_AR[22]

DIG

ITA

L( F

LA

SH

) S

CH

EM

AT

IC D

IAG

RA

M

p2

04

02

00

1a

_re

v0

SH

EE

T 3

2-9

2-1

0

A

12345

BC

DE

FG

DIG

ITA

L(M

ED

IA P

RO

CE

SS

OR

)0

2

R1

40

9

PH

Y_

CT

L[1

]

R1

41

0

R1

41

2

R1

41

3

TL

14

90

SD

RA

M_

A[0

-12

]

SD

RA

M_

DQ

[0-1

5]

MA

DD

[22

]

SD

RA

M_D

Q[1

6-3

1]

SD

RA

M_

VR

EF

SD

RA

M_

CL

K_

L[1

]

AI_

D[0

]

R1

40

8

AO

_S

CL

K

AO

_F

SY

NC

R1

41

5

AO

_D

[0]

TO

VID

EO

IF

SH

EE

T 2

TO

13

94

PH

YS

HE

ET

6

TO

VID

EO

IF

SH

EE

T 2

TO

VID

EO

IF

SH

EE

T2

TO

13

94

PH

YS

HE

ET

6

TO

VID

EO

IF

SH

EE

T 2

TO

VID

EO

IF

SH

EE

T 2

TO

DD

R S

DR

AM

SH

EE

T 5

C1

40

3

C1

41

7

R1

41

7

SD

RA

M_

CL

K_

L[0

]

AO

_IE

C9

58

AO

_M

CL

KO

R1

46

9

R1

41

1

C1

41

8

C1

41

0

TL

14

07

C1

40

7

VI_

D[2

-9]

PH

Y_

DA

TA

[0-7

]

PH

Y_

CT

L[0

]

TL

14

91

R1

45

9

AT

A_

DA

T[0

-15

]

WA

IT[L

]

E5

_R

ES

ET

[L]

TO

FL

AS

HS

HE

ET

3

TO

VID

EO

IF

SH

EE

T 2

TO

AT

AP

I IF

SH

EE

T 7

TO

VID

EO

IF

SH

EE

T 2

TO

13

94

PH

YS

HE

ET

6

TO

FL

AS

HS

HE

ET

3

TO

DD

R S

DR

AM

SH

EE

T 5

R1

47

2R

14

70

C1

45

9

R1

46

1

AT

A_

AD

D[0

-4]

VID

EO

_2

7M

C1

44

0

TL

14

89

SD

RA

M_

DQ

S[0

-3]

RA

14

01

C1

44

3

AT

A_

RE

SE

T

R1

45

0

RA

14

11

RA

14

10

D1

40

3

R1

49

1

TL

14

49

CS

[0]

CS

[1]

RA

14

05

MA

DD

[1-5

]

MA

DD

[6-2

1]

R1

46

2

C1

44

1

R1

45

8

C1

44

9C

14

26

C1

43

1

RA

14

02

RD

/WR

[L]

R1

44

7

UA

RT

2_

TX

R1

47

1

AT

A_

DIO

R[L

]

AT

A_

DIO

W[L

]

VO

_D

[0-1

5]

DA

C_

CV

BS

_O

UT

DA

C_

SY

_O

UT

DA

C_

PR

_O

UT

C1

45

8C

14

57

R1

42

0

EL

INK

_IN

T[L

]

PH

Y_

RE

SE

T[L

]

R1

46

5

C1

43

2

RA

14

03

R1

46

6

R1

46

8

PH

Y_

CL

K

PH

Y_

LIN

K_

ON

PH

Y_

LR

EQ

PH

Y_

LP

S

VD

DI1

.8

DIG

I3.3

V

R1

49

3

TL

14

50

C1

43

9

B1

40

3

D2

.5V

DA

C_

PB

_O

UT

DA

C_

Y_

OU

T

R1

46

7

AT

A_

DM

AA

CK

[L]

TL

14

37

R1

45

3

R1

45

2

DA

C_

SC

_O

UT

TL

14

03

TL

14

04

R1

46

0

TL

14

14

R1

44

8

TL

14

15

C1

43

3

TL

14

13

TL

14

17

TL

14

18

TL

14

19

TL

14

20

R1

41

4

V3

.3V

AT

A_

DM

AR

Q

B1

40

2

R1

43

0

R1

43

1

B1

40

1

RA

14

04

R1

42

9

R1

42

8

AT

A_

IOR

DY

LC

14

01

C1

44

5

AT

A_

INT

RQ

R1

41

6

C1

40

6

TL

14

51

D1

.8V

C1

41

5C

14

16

TL

14

52

C1

40

9

C1

40

2

TL

14

02

R1

49

8

TL

14

53

TL

14

54

C1

40

1

TL

14

55

C1

40

8

TL

14

56

K1

40

6

TL

14

57

UA

RT

2_

RX

R1

49

7

C1

41

9

K_

BU

S_

OU

T

R1

44

6

R1

44

9

DT

AC

K[L

]

R1

44

5

TL

14

58

UW

E[L

]/U

DS

[L]

OE

[L]/

LD

S[L

]

AL

E

D1

.8V

LC

14

02

TL

14

59

C1

41

1

SD

RA

M_

DQ

M[0

-3]

R1

49

6

TL

14

60

C1

43

0C

14

29

C1

42

8C

14

27

VID

EO

_M

UT

E[H

]

C1

43

8C

14

37

C1

43

6C

14

34

C1

43

5

K1

40

2

C1

44

2

TL

14

61

C1

44

4

C1

44

6

D2

.5V

K1

40

5

C1

42

5C

14

24

C1

42

3

SD

RA

M_

CL

K[1

]

SD

RA

M_

CL

K[0

]

SD

RA

M_

WE

_L

SD

RA

M_C

KE

SD

RA

M_R

AS

_L

SD

RA

M_C

AS

_L

C1

41

2

LC

14

03

C1

40

5

C1

41

3C

14

14

C1

42

2

K1

40

4

K1

40

3

K1

40

1

C1

42

1

GN

D

K_

BU

S_

RE

Q

K_

BU

S_

IN

K_

BU

S_

CL

K

SY

S_

RE

SE

T[L

]

PH

Y_

CN

A

C1

42

0C

14

04

IC1

40

1

48

0I[

H]

DA

C_

RS

T[L

]

SD

RA

M_

A[1

4-1

7]

R1

40

2

R1

41

9

TL

14

62

TL

14

63

TL

14

64

GN

D

X1

40

1

C1

45

0K1

40

7

R1

48

5

R1

48

6

R1

48

7

R1

48

8

R1

48

9

TL

14

12

R1

44

1

R1

42

1

R1

42

2

R1

42

3

R1

42

4

R1

42

5

R1

42

6

R1

42

7

C1

45

2

GN

D

C1

45

3

C1

45

4

D1

40

2D

14

01

C1

45

5

C1

45

6

K1

40

8

SS

TL

2_

VD

D

D3

.3V

R1

49

0

RA

14

06

RA

14

09

RA

14

08

RA

14

07

R1

45

1

TL

14

85

TL

14

86

D5

.0V

R1474

R1478

R1475

R1479

TL1493

R1476

CN1403

R1480

R1481

R1443

R1473

TL1448

S1401

TL1494

TL1495

IC1404

R1444

IC1405

R1433

R1436

R1432

CN1402

TL1492

C1447

C1448

R1401

R1483

R1438

TL1429

R1477

TL1430

TL1431

R1482

TL1487

TL1432

CN1404 TO JUNCTION(VIDEO)CN7105

OPEN

OPEN

R1437

R1440

TL1433

TL1434

R1434

R1435

R1439A_DAC_CS

TL1488

VIDEO_RXD

VIDEO_CS

TO VIDEO IF

TL1425

TL1423

TL1424

TL1422

TL1436

R1492

VIDEO_RST[L]

TO VIDEO IF

A_MUTE2[H]

SPI_CLK

SPI_MOSI

SPI_CLK

SPI_MOSI

TL1435

OP

EN

1S

S3

55

/MD

T[0

-15

]

OP

EN

OP

EN

OP

EN

NQ

R0

41

5-0

02

X

OP

EN

#

OP

ENT

DA

C4

_O

UT

DA

C5

_O

UT

DA

C6

_O

UT

TD

I

VO

_D

[0]/

IvG

PIO

Ext[

0]

VO

_D

[3]/

IvG

PIO

Ext[

3]

VO

_D

[7]/

IvG

PIO

Ext[

7]

VO

_D

[9]/

IvG

PIO

Ext[

9]

VO

_D

[13

]/Iv

GP

IOE

xt[

13

]

VO

_D

[14

]/Iv

GP

IOE

xt[

14

]

VO

_C

LK

VI_

CL

K[0

]

VI_

CL

K[1

]

NQ

R0

41

5-0

02

X

VO

2_D

[8]

VI_

E[1

]/Iv

GP

IOE

xt[

29

]

/6.3

VI_

VS

YN

C[0

]

VI_

D[4

]

VI_

D[9

]

VI_

D[7

]

/6.3

T

OP

ENT

/6.3T

SH

OR

T

OP

EN

DA

C3

_O

UT

CS

[3]

DA

C2

_O

UT

CS

[2]

CS

[1]

NQ

R0

41

5-0

02

X

/6.3

CS

[0]AL

E

WR

[L]/

LW

E[L

]

LD

S[L

]/O

E[L

]

MA

DD

R[1

7]/

MD

AT

A[1

1]

DA

C1

_O

UT

MA

DD

R[1

9]/

MD

AT

A[1

3]

GP

IO[5

]/P

CM

CIA

_IO

R[L

]

GP

IO[4

]/P

CM

CIA

_IO

W[L

]

MA

DD

R[1

]

MA

DD

R[5

]

MA

DD

R[2

4]

CS

[5]

#

SH

OR

T

SH

OR

T

AT

AP

I2_

INT

RQ

AT

AP

I2_

DM

AA

CK

[L]

AT

AP

I2_

AD

DR

[3]

AT

AP

I2_

DA

TA

[15

]

AT

AP

I2_

DA

TA

[14

]

AT

AP

I2_

DA

TA

[10

]

AT

AP

I2_

DA

TA

[7]

/6.3T

/6.3

AT

AP

I2_

DA

TA

[4]

AT

AP

I2_

DA

TA

[1]

AT

AP

I_R

ES

ET

AT

AP

I_A

DD

R[1

]

AT

AP

I_D

MA

AC

K[L

]

AT

AP

I_D

AT

A[1

]

AT

AP

I_D

AT

A[2

]

AT

AP

I_IO

RD

Y

AT

AP

I_A

DD

R[4

]

AT

AP

I_D

AT

A[9

]

CL

KX

AT

AP

I_D

AT

A[8

]

1394_LP

S

1394_P

HY

_C

LK

13

94

_P

HY

_D

AT

A[5

]

CL

KO

_D

AC

/GP

IOE

xt[

35

]

HO

ST

_P

O_0

DM

INU

S_1

DM

INU

S_0

CL

KI

AT

AP

I_D

AT

A[1

4]

DP

LU

S_0

DP

LU

S_1

HO

ST

_O

C_0

US

B_

48

MH

z/G

PIO

Ext[

36

]

13

94

_P

HY

_D

AT

A[4

]

13

94

_P

HY

_D

AT

A[7

]

13

94

_L

RE

Q

AT

AP

I_D

AT

A[7

]

AT

AP

I_D

AT

A[6

]

AT

AP

I_D

AT

A[1

0]

AT

AP

I_D

AT

A[3

]

AT

AP

I_D

AT

A[1

2]

AT

AP

I_D

AT

A[1

3]

AT

AP

I_IN

TR

Q

AT

AP

I_A

DD

R[0

]

AT

AP

I2_

DA

TA

[3]

AT

AP

I2_

DA

TA

[6]

AT

AP

I2_

DA

TA

[9]

AT

AP

I2_

DA

TA

[13

]

AT

AP

I2_

AD

DR

[0]

AT

AP

I2_

AD

DR

[4]

AT

AP

I2_

IOR

DYCS

[4]

MA

DD

R[2

6]

MA

DD

R[2

2]

MA

DD

R[2

]

GP

IO[3

]

GP

IO[2

]

MA

DD

R[1

5]/

MD

AT

A[9

]

MA

DD

R[2

0]/

MD

AT

A[1

4]

MA

DD

R[1

6]/

MD

AT

A[1

0]G

PIO

[1]

MA

DD

R[1

3]/

MD

AT

A[7

]

MA

DD

R[7

]/M

DA

TA

[1]

MA

DD

R[9

]/M

DA

TA

[3]R

ST

[L]

VI_

D[6

]

VI_

D[2

]

VI_

D[3

]

VI_

D[5

]

VI_

E[0

]

VI_

D[1

]

VO

2_D

[6]

VO

2_D

[3]

VO

2_D

[0]

VO

_E

/IvG

PIO

Ext[

30

]

VO

_D

[12

]/Iv

GP

IOE

xt[

12

]

VO

_D

[8]/

IvG

PIO

Ext[

8]

VO

_D

[6]/

IvG

PIO

Ext[

6]

VO

_D

[2]/

IvG

PIO

Ext[

2]

VO

_D

[1]/

IvG

PIO

Ext[

1]

VO

_H

SY

NC

TC

K

TD

O

DA

C_

DV

SS

_1

DA

C4

_O

UT

B

DA

C2

_O

UT

B

US

B_

AG

ND

_1

US

B_

AG

ND

_0

13

94

_P

HY

_D

AT

A[0

]

13

94

_L

INK

_O

N

HO

ST

_P

O_

1/G

PIO

Ext[

43

]

MA

DD

R[1

8]/

MD

AT

A[1

2]W

AIT

[L]

MA

DD

R[3

]

MA

DD

R[2

5]

DA

C_V

DD

_3

DA

C_

DV

DD

TM

S

EP

D[L

]

MC

ON

FIG

AT

AP

I2_

DM

AR

Q

VO

2_D

[2]

VO

2_D

[5]

VO

2_D

[9]

AT

AP

I2_

AD

DR

[2]

AT

AP

I2_

DA

TA

[11

]

AT

AP

I2_

DA

TA

[2]

AT

AP

I_D

AT

A[1

5]

UD

S[L

]/U

WE

[L]

MA

DD

R[1

0]/

MD

AT

A[4

]

AT

AP

I_D

MA

RQ

AT

AP

I_A

DD

R[3

]

13

94

_P

HY

_D

AT

A[2

]

13

94

_P

HY

_D

AT

A[1

]

13

94

_P

HY

_C

TL

[0]

BY

PA

SS

_P

LL

HO

ST

_O

C_

1/G

PIO

Ext[

44

]

US

B_

AV

DD

_1

US

B_

AV

DD

_0

DA

C_

VD

D_

0

TR

ST

[L]

VO

_V

SY

NC

RS

TO

[L]

VO

_A

CT

IVE

VO

_D

[4]/Iv

GP

IOE

xt[4]

VO

_D

[5]/Iv

GP

IOE

xt[5]

VO

_D

[10

]/Iv

GP

IOE

xt[

10

]

VO

_D

[11

]/Iv

GP

IOE

xt[

11

]

VO

_D

[15

]/Iv

GP

IOE

xt[

15

]

VO

2_D

[1]

VO

2_D

[4]

VO

2_D

[7]

VI_

D[0

]

VI_

VS

YN

C[1

]/Iv

GP

IOE

xt[

45

]

VI_

D[8

]

13

94

_P

HY

_D

AT

A[6

]

MA

DD

R[6

]/M

DA

TA

[0]

MA

DD

R[1

1]/

MD

AT

A[5

]

MA

DD

R[8

]/M

DA

TA

[2]

MA

DD

R[1

4]/

MD

AT

A[8

]

MA

DD

R[1

2]/

MD

AT

A[6

]

CS

0_

8B

IT

MA

DD

R[2

1]/

MD

AT

A[1

5]

13

94

_P

HY

_C

TL

[1]

DT

AC

K[L

]

MA

DD

R[4

]

GP

IO[0

]

MA

DD

R[2

3]

AT

AP

I2_

RE

SE

T

AT

AP

I2_

DIO

R[L

]

AT

AP

I2_

DIO

W[L

]

AT

AP

I2_

AD

DR

[1]

AT

AP

I2_

DA

TA

[12

]

AT

AP

I2_

DA

TA

[8]

AT

AP

I2_

DA

TA

[5]

AT

AP

I2_

DA

TA

[0]

AT

AP

I_D

IOR

[L]

AT

AP

I_A

DD

R[2

]

AT

AP

I_D

AT

A[0

]

AT

AP

I_D

IOW

[L]

13

94

_P

HY

_D

AT

A[3

]

AT

AP

I_D

AT

A[5

]

AT

AP

I_D

AT

A[4

]

AT

AP

I_D

AT

A[1

1]

NA

X0

58

0-0

01

X

SH

OR

T

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

SH

OR

T

OP

EN

GND

RX

TX

D5.0V

OPEN

SN74HCT08APW

SN74LV08APW

OPEN

OPEN

JRIP_RX

GND

JLIP_TX

D3.3V

##

_1%

OPEN

JRIP_RX

GND

JLIP_TX

D3.3V

##

AO_IEC958

AI_MCLKO

AO_MCLKO

AI_FSYNC

AI_D[0]

AI2_D/GPIO[7]

AO_D[2]

SDRAM_DQ[25]

SDRAM_DQS[3]

SDRAM_DQ[31]

SDRAM_DQ[21]

SDRAM_DQ[18]

SDRAM_DQM[2]

SDRAM_DQM[1]

SDRAM_DQ[14]

SDRAM_DQ[12]

SDRAM_DQ[11]

SDRAM_DQ[9]

SDRAM_DQ[7]

SDRAM_DQ[5]

SDRAM_DQ[3]

SDRAM_WE[L]

SDRAM_A[17]

SDRAM_A[3]

SDRAM_A[6]

SDRAM_A[11]

SDRAM_A[13]

SDRAM_A[9]

SIO_UART1_TX

SIO_UART1_RTS/GPIOExt[41]

SIO_UART2_RX/GPIOExt[37]

SIO_SPI_CS[0]/GPIOExt[24]

SIO_IRTX2

REFVDD

VREF

XTALVDD

XTALVSS

REFVSS

AVDD_2

SIO_IRRX/GPIOExt[39]

SIO_UART1_CTS/GPIOExt[42]

SIO_SPI_CS[1]/GPIOExt[25]

SIO_SPI_MOSI

SIO_UART2_TX/GPIOExt[38]

SIO_UART2_RTS

SDRAM_A[7]

SDRAM_A[5]

SDRAM_A[0]

SDRAM_A[15]

SDRAM_CAS[L]

SDRAM_DQ[2]

SDRAM_DQS[0]

SDRAM_DQ[6]

SDRAM_DQ[8]

SDRAM_DQ[10]

SDRAM_DQS[1]

SDRAM_DQ[13]

SDRAM_DQ[15]

SDRAM_DQ[16]

SDRAM_DQ[19]

SDRAM_DQ[22]

SDRAM_DQ[29]

SDRAM_DQ[26]

AO_D[3]

AO2_D[0]

AO_FSYNC

A2_FSYNC/GPIOExt[34]

AO_MCLKI/GPIOExt[33]

AI_MCLKI/GPIOExt[32]

AVDD_1

AGND_2

AGND_1

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

BIAS_5V01

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

GROUND

VDD_15

GROUND

AVDD_0

VDD_00

VDD_01

VDD_02

VDDP_01

VDDP_02

VDDP_03

VDDP_04

BIAS_5V00

SDRAM_VREF

VDD25_00

A2_SCLK/GPIOExt[31]

VDD_03

AO_D[1]

SDRAM_DQ[24]

SDRAM_DQ[30]

SDRAM_DQM[3]

SDRAM_DQ[20]

VDD25_02

SDRAM_CLK[0]

SDRAM_CLK_L[0]

SDRAM_CLK[1]

SDRAM_CLK_L[1]

VDD_14

VDD25_08

SDRAM_DQ[0]

SDRAM_CKE

SDRAM_A[16]

VDD_30

SDRAM_A[1]

SDRAM_A[8]

SIO_UART2_CTS

VDD_23

SIO_UART1_RX

SIO_SDA

SIO_SPI_CS[2]

VDDP_21

VDDP_20

VDDP_19

VDDP_19A

VDDP_10

VDDP_09

VDDP_08A

VDD_09

VDD_08

AVDD_3

AGND_3

AGND_0

GROUND

VDD25_01

GROUND

AI_SCLK

AI_D[1]/GPIO[6]

AO_SCLK

AO_D[0]

SDRAM_DQ[27]

SDRAM_DQ[28]

SDRAM_DQ[23]

SDRAM_DQS[2]

SDRAM_DQ[17]

VDD25_03

VDD25_04

VDD25_05

VDD25_06

SDRAM_DQM[0]

SDRAM_DQ[4]

SDRAM_DQ[1]

SDRAM_RAS[L]

SDRAM_A[2]

SDRAM_A[4]

SDRAM_A[12]

SDRAM_A[10]

SDRAM_A[14]

SIO_SPI_MISO

SIO_SCL

SIO_SPI_CS[3]

SIO_IRTX1/GPIOExt[40]

SIO_SPI_CLK

OPEN

10

k

10

k

10

k

10

k

1k

1k

0.1

0.1

1k

1k

10

0

0.1

0.1

0.1

10

0

0‘

1k

10

0

0.1

10

0

0.1

10

0

10

0

10

0

1k

10

0

10

0

0.1

10

0

0.1

0.1

0.1

10

0

10

0

10

0

0.1

0.1

10

0

10

0

0.1

10

0

10

0

10

0

0.1

10

0

10

0

10

0

10

0

10

0

0.1

1k

10

0

10

0

10

0

10

0

10

0

0.1

1k

0.1

0.1

0.1

0.1

10

k

0.1

0.1

10

k

10

0

10

0

10

0

10

0

10

k

0.1

0.1

0.1

10

0.1

0.1

0.1

10

0.1

0.1 0

.1

0.1

0.1

0.1

0.1

0.1

10

00

.1

0.1

0.1

10

10

0

10

0

0.1

10

k

10

0

0.1

0.1

0.1

10

0

10

0

10

0

10

0

10

0

100

100

100

100

100

0‘

0‘

4.7k

100

4.7k

100

0.1

0.01

1.18k

100

100

0‘

0‘

100

100

100

100

SD

RA

M_

DQ

[0-1

5]

SD

RA

M_

DQ

[16

-31

]

MA

DD

[22

]

CS

[0]

VI_

D[2

-9]

AT

A_

DA

T[0

-15

]A

TA

_D

AT

[0]

D5

.0V

VO

_D

[0-1

5]

V3

.3V

AT

A_D

AT

[3]

MA

DD

[1-5

]

MA

DD

[5]

MA

DD

[4]

MA

DD

[3]

MA

DD

[2]

MA

DD

[1]

AT

A_D

AT

[1]

MA

DD

[21

]

AT

A_D

AT

[2]

CS

[1]

VI_

D[2

]

VI_

D[3

]

VI_

D[4

]

VI_

D[6

]

VI_

D[5

]

MA

DD

[20

]

VI_

D[7

]

MA

DD

[19

]

VO

_D

[0]

VO

_D

[1]

VO

_D

[2]

VO

_D

[3]

VO

_D

[4]

VO

_D

[5]

VO

_D

[6]

VO

_D

[7]

VO

_D

[8]

VO

_D

[9]

VO

_D

[10

]

VO

_D

[11

]

VO

_D

[12

]

VO

_D

[13

]

VO

_D

[14

]

MA

DD

[6-2

1]

VO

_D

[15

]

MA

DD

[18

]

MA

DD

[17

]

MA

DD

[16

]

MA

DD

[15

]

MA

DD

[14

]

MA

DD

[13

]

MA

DD

[12

]

MA

DD

[11

]

MA

DD

[10

]

MA

DD

[9]

MA

DD

[8]

MA

DD

[7]

MA

DD

[6]

VI_

D[8

]

PH

Y_

DA

TA

[0-7

]

PH

Y_

DA

TA

[7]

PH

Y_

DA

TA

[6]

PH

Y_

DA

TA

[5]

PH

Y_

DA

TA

[4]

PH

Y_

DA

TA

[3]

PH

Y_

DA

TA

[2]

PH

Y_

DA

TA

[1]

PH

Y_

DA

TA

[0]

SD

RA

M_

A[0

-12

]

SS

TL

2_

VD

D

AT

A_

AD

D[0

]

AT

A_

AD

D[1

]

AT

A_

AD

D[2

]

AT

A_

AD

D[3

]

AT

A_

AD

D[4

]

AT

A_D

AT

[4]

SD

RA

M_D

QM

[0-3

]

SD

RA

M_

DQ

S[0

-3]

SD

RA

M_

VR

EF

SD

RA

M_

A[1

4-1

7]

AT

A_D

AT

[5]

AT

A_D

AT

[6]

AT

A_D

AT

[7]

AT

A_D

AT

[8]

AT

A_D

AT

[9]

AT

A_

DA

T[1

0]

AT

A_

DA

T[1

1]

AT

A_

DA

T[1

2]

AT

A_

DA

T[1

3]

AT

A_

DA

T[1

4]

AT

A_

DA

T[1

5]

AT

A_A

DD

[0-4

]

D3.3

V

SD

RA

M_C

AS

_L

SD

RA

M_R

AS

_L

SD

RA

M_C

KE

SD

RA

M_

WE

_L

SD

RA

M_

CL

K_

L[1

]

SD

RA

M_

CL

K[1

]

SD

RA

M_

CL

K_

L[0

]

SD

RA

M_

CL

K[0

]

VI_

D[9

]

VD

DI1

.8

SDRAM_A[17]

SDRAM_CAS_L

SDRAM_RAS_L

SDRAM_CKE

SDRAM_WE_L

SDRAM_CLK[0]

SDRAM_CLK_L[0]

SDRAM_CLK[1]

SDRAM_A[15]

SDRAM_CLK_L[1]

SDRAM_DQ[0]

SDRAM_A[14]

SDRAM_DQS[3]

SDRAM_DQS[2]

SDRAM_DQ[1]

SDRAM_DQ[2]

SDRAM_DQ[3]

SDRAM_DQ[4]

SDRAM_DQ[5]

SDRAM_DQ[6]

SDRAM_DQ[7]

SDRAM_DQS[1]

SDRAM_DQM[0]

SDRAM_DQ[8]

SDRAM_DQ[9]

SDRAM_DQ[10]

SDRAM_DQ[11]

SDRAM_DQ[12]

SDRAM_DQ[13]

SDRAM_DQ[14]

SDRAM_DQ[15]

SDRAM_DQM[1]

SDRAM_DQS[0]

SDRAM_DQ[16]

SDRAM_DQ[17]

SDRAM_DQ[18]

SDRAM_DQ[19]

SDRAM_DQ[31]

SDRAM_DQ[20]

SDRAM_DQ[21]

SDRAM_DQ[22]

SDRAM_DQM[2]

SDRAM_DQ[23]

SDRAM_DQ[24]

SDRAM_DQ[25]

SDRAM_DQ[26]

SDRAM_DQ[27]

SDRAM_DQ[28]

SDRAM_DQ[29]

SDRAM_DQ[30]

SDRAM_DQM[3]

SDRAM_A[0]

SDRAM_A[1]

SDRAM_A[2]

SDRAM_A[3]

SDRAM_A[4]

SDRAM_A[5]

SDRAM_A[6]

SDRAM_A[7]

SDRAM_A[8]

SDRAM_A[9]

SDRAM_A[10]

SDRAM_A[11]

SDRAM_A[12]

DIG

ITA

L( M

ED

IA P

RO

CE

SS

OR

) S

CH

EM

AT

IC D

IAG

RA

M

p1

05

94

00

1a

_re

v1

SH

EE

T 4

2-1

12

-12

A

12345

BC

DE

FG

DIG

ITA

L(D

DR

SD

RA

M)

02

IC1601

SD

RA

M_A

[14-1

7]

C1710

C1708

D3.3

V

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

SS

TL2_V

DD

C1621

R1707

GN

D

GN

D

SD

RA

M_V

RE

F

K1701

SD

RA

M_C

LK

[0]

SD

RA

M_C

LK

[1]

SD

RA

M_C

LK

_L[0

]

SD

RA

M_C

LK

_L[1

]

C1622

R1653

R1654

R1655

R1656

C1623

IC1602

R1645

R1642

C1624

IC1601

IC1601

R1657

IC1601

C1625

R1658

R1646

R1647

SD

RA

M_D

QS

[0]

SD

RA

M_D

QS

[1]

SD

RA

M_D

QS

[2]

SD

RA

M_D

QS

[3]

IC1601

IC1602

R1659

R1660

IC1602

RA

1617

R1617

R1618

R1623

R1608

R1607

R1606

R1605

RA

1629

R1648

R1649

R1644

IC1602

IC1602

R1650

IC1602

R1651

R1624

R1619

R1620

R1625

RA

1630

IC1601

R1652

C1701

C1703

C1704

RA

1609

RA

1618

RA

1619

RA

1620

C1702

RA

1621

RA

1631

RA

1632

RA

1625

RA

1626

R1609

C1707

RA

1610

RA

1611

RA

1612

C1605

C1606

IC1701

C1607

C1608

C1609

C1610

C1611

C1612

K1702

C1613

C1614

RA

1613

C1615

C1616

C1617

C1618

C1619

C1620

RA

1614

RA

1615

RA

1616

RA

1627

RA

1628

R1610

R1611

R1612

RA

1622

RA

1623

RA

1624

C1709

C1647

R1701

R1702

R1703

R1704

C1648

RA

1601

RA

1602

RA

1603

RA

1604

C1637

C1601

C1602

C1638

RA

1605

RA

1606

RA

1607

RA

1608

C1639

C1640

R1626

SD

RA

M_A

[0-1

2]

R1604

R1603

R1602

R1601

SD

RA

M_C

KE

SD

RA

M_R

AS

_L

SD

RA

M_C

AS

_L

SD

RA

M_W

E_L

R1621

R1622

R1627

R1628

R1613

C1649

C1651

C1643

C1641

C1650

C1652

C1644

C1642

C1645

C1646

C1705

R1614

R1615

C1653

C1706

R1616

C1654

SD

RA

M_D

Q[1

6-3

1]

SD

RA

M_D

Q[0

-15]

SD

RA

M_D

QM

[0]

SD

RA

M_D

QM

[1]

SD

RA

M_D

QM

[2]

SD

RA

M_D

QM

[3]

C1657

C1658

C1655

C1656

A0

A1

A2

A3

A4

A5

A6

A7

A8

A9

A10/A

P

A11

A12

BA

0

BA

1

/6.3

T

/6.3T

OP

EN

SH

OR

T

A0

A1

A2

A3

A4

A5

A6

A7

A8

A9

A10/A

P

A11

A12

BA

0

BA

1

OP

EN

VR

EF

VD

D

VD

D

VD

D

VD

DQ

VD

DQ

VD

DQ

VD

DQ

VD

DQ

#1

D15

D14

D13

D12

D11

D10D9

D8

D7

D6

D5

D4

D3

D2

D1

D0

GN

DQ

GN

DQ

GN

DQ

GN

DQ

GN

DQ

GN

D

GN

D

GN

D

OP

EN

OP

EN

CS

CK

E

RA

S

CA

S

WE

LD

M

UD

M

LD

QS

UD

QS

CLK

CLK

NC

NC

NC

NC

NC

NC

NC

CS

CK

E

RA

S

CA

S

WE

LD

M

UD

M

LD

QS

UD

QS

CLK

CLK

OP

EN

VR

EF

VD

D

VD

D

VD

D

VD

DQ

VD

DQ

VD

DQ

VD

DQ

VD

DQ

#2

D15

D14

D13

D12

D11

D10D9

D8

D7

D6

D5

D4

D3

D2

D1

D0

GN

DQ

GN

DQ

GN

DQ

GN

DQ

GN

DQ

GN

D

GN

D

GN

D

NC

NC

NC

NC

NC

NC

NC

/6.3

/6.3

OP

EN

39

PQ

015Y

Z01Z

15

55

61

118

33

SH

OR

T

39

15

55

61

118

33

OP

EN

OP

EN

OP

EN

OP

ENOS

OP

EN

_0.5

%

_0.5

%

OP

EN

/4V

/4V

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OS

OS

47

47

0.1

0.1

0‘

0‘

0‘

0‘

0.1

10

0.1

22

0.1

22

22

22

100

27

27

27

47

47

47

47

47

100

47

100

100

27

100

100

100

47

100

0.1

0.1

100

22

100

100

100

100

100

47

47

10

10

0.1

22

22

22

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

0.1

22

0.1

0.1

0.1

0.1

0.1

0.1

22

22

22

10

10

100

100

100

270

1k

2.2

k

2.2

k

0.1

100

100

100

100

220

220

0.1

100

100

100

100

0.1

100

10

10

10

10

27

27

27

27

0‘

0.1

0.1

0.1

0.1

0.1

0‘

0‘

0.1

0‘

0.1

0.1

0.1

SD

RA

M_D

QM

[0]

DD

R_R

AS

_L

DD

R_C

KE

DD

R_W

E_L

DD

R_C

AS

_L

SD

RA

M_A

[14-1

7]

D3.3

V

SS

TL2_V

DD

DD

R_D

Q[7

]

DD

R_D

QM

[2]

DD

R_W

E_L

DD

R_C

AS

_L

DD

R_R

AS

_L

DD

R_C

KE

DD

R_A

[0]

DD

R_D

Q[6

]

DD

R_D

Q[5

]

DD

R_D

Q[4

]

DD

R_D

Q[3

]

DD

R_D

Q[2

]

DD

R_D

Q[1

]

DD

R_D

Q[0

]

DD

R_D

Q[8

]

DD

R_D

Q[9

]

DD

R_D

Q[1

0]

DD

R_D

Q[1

1]

DD

R_D

Q[1

2]

DD

R_D

Q[1

3]

DD

R_D

Q[1

4]

DD

R_D

Q[1

5]

DD

R_D

Q[2

3]

DD

R_D

Q[2

2]

DD

R_D

Q[2

1]

DD

R_D

QS

[1]

DD

R_D

QS

[0]

DD

R_D

QM

[1]

DD

R_D

QM

[0]

DD

R_D

Q[2

0]

DD

R_D

Q[1

9]

DD

R_D

Q[1

8]

DD

R_D

Q[1

7]

DD

R_D

Q[1

6]

DD

R_D

Q[2

4]

DD

R_D

Q[2

5]

DD

R_D

Q[2

6]

DD

R_A

[0]

DD

R_A

[1]

DD

R_A

[11]

DD

R_A

[10]

DD

R_A

[12]

DD

R_B

A[1

]

DD

R_A

[9]

DD

R_A

[8]

DD

R_A

[7]

DD

R_A

[6]

DD

R_A

[5]

DD

R_A

[4]

DD

R_A

[3]

DD

R_A

[2]

DD

R_D

Q[2

7]

SD

RA

M_D

QM

[0]

DD

R_D

Q[2

8]

DD

R_D

Q[2

9]

DD

R_D

Q[3

0]

DD

R_D

Q[3

1]

SD

RA

M_D

QM

[1]

SD

RA

M_D

QM

[2]

SD

RA

M_D

QM

[3]

SD

RA

M_A

[0]

SD

RA

M_D

QS

[0]

SD

RA

M_D

QS

[1]

SD

RA

M_D

QS

[2]

SD

RA

M_D

QS

[3]

DD

R_D

Q[1

6]

DD

R_D

QM

[0]

DD

R_D

QM

[1]

DD

R_D

QM

[2]

DD

R_D

QM

[3]

DD

R_D

Q[0

]

DD

R_D

Q[1

]

DD

R_D

Q[2

]

DD

R_D

Q[3

]

DD

R_D

Q[4

]

DD

R_D

QS

[0]

DD

R_D

QS

[1]

DD

R_D

QS

[2]

DD

R_D

QS

[3]

DD

R_C

S[0

]S

DR

AM

_A

[17]

DD

R_C

LK

_L[1

]

DD

R_C

LK

[1]

DD

R_D

QS

[3]

DD

R_D

QS

[2]

DD

R_D

QM

[3]

DD

R_D

QM

[2]

DD

R_A

[1]

DD

R_A

[0]

DD

R_A

[1]

DD

R_A

[11]

DD

R_A

[10]

DD

R_A

[12]

DD

R_B

A[1

]

DD

R_A

[9]

DD

R_A

[8]

DD

R_A

[7]

DD

R_A

[6]

DD

R_A

[5]

DD

R_A

[4]

DD

R_A

[3]

DD

R_A

[2]

DD

R_B

A[0

]

DD

R_B

A[1

]

SD

RA

M_A

[0-1

2]

DD

R_B

A[0

]

DD

R_B

A[1

]

DD

R_D

Q[1

7]

DD

R_B

A[0

]D

DR

_B

A[0

]

DD

R_D

Q[1

8]

SD

RA

M_D

QM

[1]

SD

RA

M_D

QM

[2]

SD

RA

M_D

QM

[3]

SD

RA

M_D

QS

[0]

SD

RA

M_D

QS

[1]

DD

R_A

[0]

DD

R_A

[1]

DD

R_D

Q[1

9]

DD

R_D

Q[2

0]

DD

R_D

Q[2

1]

DD

R_D

Q[2

2]

DD

R_D

Q[2

3]

DD

R_D

Q[2

4]

DD

R_D

Q[2

5]

DD

R_D

Q[2

6]

DD

R_D

Q[2

7]

DD

R_D

Q[2

8]

DD

R_D

Q[2

9]

DD

R_D

Q[3

0]

DD

R_D

Q[3

1]

SD

RA

M_D

QS

[2]

SD

RA

M_D

QS

[3]

VT

T

DD

R_D

Q[5

]

DD

R_D

Q[6

]

DD

R_D

Q[7

]

DD

R_D

Q[8

]

DD

R_D

Q[9

]

DD

R_D

Q[1

0]

DD

R_D

Q[1

1]

DD

R_D

Q[1

2]

DD

R_D

Q[1

3]

DD

R_D

Q[1

4]

DD

R_D

Q[1

5]

SD

RA

M_D

Q[0

-15]

SD

RA

M_C

LK

[0]

SD

RA

M_C

LK

[0]

DD

R_A

[11]

DD

R_A

[10]

DD

R_A

[12]

DD

R_A

[9]

DD

R_A

[8]

DD

R_A

[7]

DD

R_A

[6]

DD

R_A

[5]

DD

R_A

[4]

DD

R_A

[3]

DD

R_A

[2]

DD

R_A

[11]

DD

R_A

[10]

DD

R_A

[12]

SD

RA

M_C

LK

[1]

DD

R_A

[9]

DD

R_A

[8]

SD

RA

M_A

[15]

SD

RA

M_A

[1]

SD

RA

M_A

[2]

SD

RA

M_C

AS

_L

SD

RA

M_A

[3]

SD

RA

M_A

[4]

SD

RA

M_A

[5]

SD

RA

M_A

[6]

SD

RA

M_A

[7]

SD

RA

M_A

[8]

SD

RA

M_A

[9]

SD

RA

M_A

[10]

SD

RA

M_A

[11]

SD

RA

M_A

[12]

SD

RA

M_A

[14]

DD

R_A

[7]

DD

R_A

[6]

DD

R_A

[5]

DD

R_A

[4]

DD

R_A

[3]

DD

R_A

[2]

SD

RA

M_C

LK

[1]

SD

RA

M_C

LK

_L[0

]

SD

RA

M_C

LK

_L[1

]

SD

RA

M_R

AS

_L

SD

RA

M_C

KE

DD

R_W

E_L

DD

R_W

E_L

DD

R_C

AS

_L

DD

R_R

AS

_L

DD

R_C

KE

DD

R_C

AS

_L

DD

R_R

AS

_L

DD

R_C

KE

SD

RA

M_W

E_L

SD

RA

M_W

E_L

SD

RA

M_C

AS

_L

SD

RA

M_R

AS

_L

SD

RA

M_C

KE

SD

RA

M_C

LK

_L[0

]

SD

RA

M_D

Q[1

5]

SD

RA

M_C

LK

_L[1

]

SD

RA

M_D

QM

[0]

SD

RA

M_D

QM

[1]

SD

RA

M_D

QM

[2]

SD

RA

M_D

QM

[3]

SD

RA

M_D

QS

[0]

SD

RA

M_D

QS

[1]

SD

RA

M_D

QS

[2]

SD

RA

M_D

QS

[3]

DD

R_C

LK

[0]

SD

RA

M_D

Q[1

4]

DD

R_C

LK

_L[0

]

DD

R_C

LK

[0]

SD

RA

M_C

LK

[0]

DD

R_D

QM

[0]

DD

R_D

QM

[1]

DD

R_D

QM

[3]

DD

R_D

QS

[2]

DD

R_D

QS

[0]

DD

R_D

QS

[1]

DD

R_D

QS

[3]

DD

R_C

LK

_L[1

]S

DR

AM

_C

LK

[1]

SD

RA

M_C

LK

_L[0

]

SD

RA

M_C

LK

_L[1

]

DD

R_C

LK

_L[0

]

DD

R_C

LK

[1]

SD

RA

M_D

Q[1

3]

SD

RA

M_D

Q[1

2]

SD

RA

M_D

Q[1

1]

SD

RA

M_D

Q[1

0]

SD

RA

M_D

Q[9

]

SD

RA

M_D

Q[8

]

SD

RA

M_D

Q[7

]

DD

R_D

Q[1

6]

DD

R_D

Q[1

7]

DD

R_D

Q[1

8]

DD

R_D

Q[1

9]

DD

R_D

Q[2

0]

SD

RA

M_D

Q[6

]

DD

R_D

Q[2

1]

SD

RA

M_D

Q[5

]

SD

RA

M_D

Q[4

]

SD

RA

M_D

Q[3

]

SD

RA

M_D

Q[2

]

SD

RA

M_D

Q[1

]

SD

RA

M_D

Q[0

]

SD

RA

M_D

Q[3

1]

SD

RA

M_D

Q[3

0]

SD

RA

M_D

Q[2

9]

DD

R_D

Q[2

2]

DD

R_D

Q[2

3]

SD

RA

M_D

Q[2

8]

SD

RA

M_D

Q[2

7]

SD

RA

M_D

Q[2

6]

SD

RA

M_D

Q[2

5]

SD

RA

M_D

Q[2

4]

SD

RA

M_D

Q[2

3]

SD

RA

M_D

Q[2

2]

SD

RA

M_D

Q[2

1]

SD

RA

M_D

Q[2

0]

SD

RA

M_D

Q[1

9]

SD

RA

M_D

Q[1

8]

DD

R_D

Q[2

4]

DD

R_D

Q[2

5]

DD

R_D

Q[2

6]

DD

R_D

Q[2

7]

DD

R_D

Q[2

8]

DD

R_D

Q[2

9]

DD

R_D

Q[3

0]

DD

R_D

Q[3

1]

DD

R_D

Q[0

]

DD

R_D

Q[1

]

DD

R_D

Q[2

]

DD

R_D

Q[3

]

DD

R_D

Q[4

]

DD

R_D

Q[5

]

DD

R_D

Q[6

]

DD

R_D

Q[7

]

DD

R_D

Q[8

]

DD

R_D

Q[9

]

DD

R_D

Q[1

0]

DD

R_D

Q[1

1]

DD

R_D

Q[1

2]

DD

R_D

Q[1

3]

DD

R_D

Q[1

4]

DD

R_D

Q[1

5]

SD

RA

M_D

Q[1

6-3

1]

SD

RA

M_D

Q[0

]

SD

RA

M_D

Q[1

]

SD

RA

M_D

Q[2

]

SD

RA

M_D

Q[3

]

SD

RA

M_D

Q[4

]

SD

RA

M_D

Q[5

]

SD

RA

M_D

Q[6

]

SD

RA

M_D

Q[7

]

SD

RA

M_D

Q[8

]

SD

RA

M_D

Q[9

]

SD

RA

M_D

Q[1

0]

SD

RA

M_D

Q[1

1]

SD

RA

M_D

Q[1

2]

SD

RA

M_D

Q[1

3]

SD

RA

M_D

Q[1

4]

SD

RA

M_D

Q[1

5]

SD

RA

M_D

Q[1

6]

SD

RA

M_D

Q[1

7]

SD

RA

M_D

Q[1

8]

SD

RA

M_D

Q[1

9]

SD

RA

M_D

Q[2

0]

SD

RA

M_D

Q[2

1]

SD

RA

M_D

Q[2

2]

SD

RA

M_D

Q[2

3]

SD

RA

M_D

Q[2

4]

SD

RA

M_D

Q[2

5]

SD

RA

M_D

Q[2

6]

SD

RA

M_D

Q[2

7]

SD

RA

M_D

Q[2

8]

SD

RA

M_D

Q[2

9]

SD

RA

M_D

Q[3

0]

SD

RA

M_D

Q[3

1]

SD

RA

M_D

Q[1

7]

SD

RA

M_D

Q[1

6]

DIG

ITA

L( D

DR

SD

RA

M)

SC

HE

MA

TIC

DIA

GR

AM

p1

05

95

00

1a

_re

v0

SH

EE

T 5

2-1

32

-14

A

12345

BC

DE

FG

DIG

ITA

L(1

39

4P

HY

)0

2

IC1801

PH

Y_LP

S

CN

1801

TO

JA

CK

CN

4104

SH

EE

T 1

8

R1818

L1801

L1802

C1804

RA

1801

C1805

C1803

C1806

PH

Y_C

TL[0

]

X1801

RA

1802

B1801

B1802

D3.3

V

TO

ME

DIA

PR

OC

ES

SO

RS

HE

ET

4

R1820

PH

Y_C

NA

K1801

C1813

C1810

R1801

PH

Y_D

AT

A[0

-7]

PH

Y_C

TL[1

]

PH

Y_C

LK

PH

Y_LIN

K_O

N

PH

Y_R

ES

ET

[L]

R1802

R1819

PH

Y_LR

EQ

C1814

GN

D

R1823

T1801

T1801

T1801

T1801

T1801

T1801

T1801

T1801

R1805

R1810

R1806

R1812

R1808R1807

R1809

C1801

R1821

R1811

C1808

R1822

C1809

C1807

C1811

C1812

R1813

R1814

R1815

R1816 R1817

C1802

R1803

R1804

LR

EQ

SY

SC

LK

CN

A

CT

L0

CT

L1

D0

D1

D2

D3

D4

D5

D6

D7

PD

LP

S

NC

AG

ND

TP

B0-

TP

B0+

TP

A0-

AG

NDR0

TP

A0+

TP

BIA

S0

R1

AV

DDN

C

NC

NC

AG

ND NC

NC

TS

B41A

B2P

AP

#

QG

B2027L1-1

0X

#

_0.5

%

#

#

OP

EN

#

#

/6.3

#

/6.3

#

NA

X0551-0

01X

NA

X0666-0

01X

#

OP

EN

#

#

SH

OR

T

#

OP

EN

OP

EN

# #

_0.5

%

#

OP

EN

##

NQ

R0444-0

01X

#

NQ

R0444-0

01X

NQ

R0444-0

01X

NQ

R0444-0

01X

NQ

R0444-0

01X

NQ

R0444-0

01X

NQ

R0444-0

01X

NQ

R0444-0

01X

#

DGND

DGND

C/LKON

PC0

PC1

PC2

ISO

CPS

DVDD

DVDD

TESTM

BRIDGE

TEST0

AVDD

AVDD

AGND

DGND

DGND

DVDD

DVDD

XO

XI

PLLGND

PLLGND

PLLVDD

NC

NC

RESET

AVDD

AVDD

AGND

AGND

#

OPEN

#

###

#

#

#

OPEN

#

#

#

#

#

#

#

#

#

#

#

#

5.6

k

10µ

0.1

10k

0.1

10

10

10k

10k

750

390k

10k10k

10k

1

1k

12p

12p

0.1

0.1

0.1

56

56

56

56 5.1k

270p

10k

10k

TP

B0+

TP

A0-

TP

A+

TP

A-

TP

B+

TP

BIA

S0

TP

B-

PH

Y_D

AT

A[0

]

PH

Y_D

AT

A[1

]

PH

Y_D

AT

A[3

]

PH

Y_D

AT

A[4

]

PH

Y_D

AT

A[5

]

TP

B0-

GN

D

PH

Y_D

AT

A[0

-7]

TP

A0+

PH

Y_D

AT

A[7

]

D3.3

V

PH

Y_D

AT

A[6

]

PH

Y_D

AT

A[2

]

1801_X0

1801_XI

DIG

ITA

L( 1

39

4P

HY

) S

CH

EM

AT

IC D

IAG

RA

M

p3

01

22

00

1a

_re

v1

SH

EE

T 6

2-1

52

-16

A

12345

BC

DE

FG

DIG

ITA

L(A

TA

PI IF

)0

2

R2205

R2204

CN

2201

IC2201

K2201

K2202

RA

2209

RA

2210

R2201

R2207

R2211

R2212

C2202

R2208

R2202

C2201

K2203

K2204

K2205

K2206

AT

A_

IOR

DY

AT

A_

DM

AA

CK

[L]

AT

A_D

IOR

[L]

AT

A_D

IOW

[L]

TO

ME

DIA

PR

OC

ES

SO

R

TO

ME

DIA

PR

OC

ES

SO

R

AT

A_D

AT

[0-1

5]

Q2201

R2213

R2215

R2210

R2214

R2209

R2206

K2207

K2208

K2209

K2210

K2211

K2212

K2213

K2214

AT

A_

AD

D[0

-4]

IC2202

R2217

R2203

AT

A_

DM

AR

Q

AT

A_

RE

SE

T

P_

CT

L[H

]

K2215

K2216

K2217

K2218

AT

A_

INT

RQ

K2219

RA

2211

K2220

K2221

D3.3

V

RA

2208

D5.0

V

GN

D

RA

22

01

RA

22

06

RA

2207

RA

22

05

RA

22

04

RA

22

03

RA

22

02

D2201

R2216

RS

TA

T

TO

DV

D U

NIT

A

GN

D

GN

D

HD

_A

T[7

]

HD

_A

T[8

]

HD

_A

T[6

]

HD

_A

T[9

]

HD

_A

T[5

]

HD

_A

T[1

0]

HD

_A

T[4

]

HD

_A

T[1

1]

HD

_A

T[3

]

HD

_A

T[1

2]

GN

D

GN

D

GN

D

GN

D

HD

_A

T[2

]

HD

_A

T[1

3]

HD

_A

T[1

]

HD

_A

T[1

4]

HD

_A

T[0

]

HD

_A

T[1

5]

DM

AR

Q

DIO

W

DIO

R

IOR

DY

CA

B_S

EL

DM

AC

K

INT

_A

TA

AT

A_A

1

AT

A_A

0

AT

A_A

2

CS

1F

X

CS

3F

X

GN

D

NC

1A

1

1A

2

1A

3

1A

4

1A

5

1A

6

GN

D

GN

DG

ND

GN

D

1O

E

2O

E

1A

7

1A

8

1A

9

1A

10

2A

1

2A

2

2A

3

2A

4

2A

5

2A

6

2A

7

2A

8

2A

9

2A

10

VC

C

1B

1

1B

2

1B

3

1B

4

1B

5

1B

6

1B

7

1B

8

1B

9

1B

10

2B

1

2B

2

2B

3

2B

4

2B

5

2B

6

2B

7

2B

8

2B

9

2B

10

SN

74C

BT

D16210

##

# # # # # #

UN

221E

-XD

TC

144W

KA

-XR

T1N

44H

C-X

##

# # # # # # # #

NC

A1

A2

A3

A4

A5

A6

A7

A8

GN

D

VC

C OE

B1

B2

B3

B4

B5

B6

B7

B8

SN

74C

BT

3245A

##

##

# # # # # # #

OP

EN

OP

EN

82

33

33

33

10k

22

33

33

0.1

82

5.6

k

0.1

33

33

82

33

22

22

22k

4.7

k

33

33

AT

A_

AD

D[0

-4]

AT

A_

AD

D[1

]

AT

A_

AD

D[2

]

D5.0

V

FE

_D

AT

[7]

FE

_D

AT

[8]

FE

_D

AT

[6]

FE

_D

AT

[9]

FE

_D

AT

[5]

FE

_D

AT

[10]

FE

_D

AT

[4]

FE

_D

AT

[11]

FE

_D

AT

[3]

FE

_D

AT

[12]

FE

_D

AT

[2]

FE

_D

AT

[13]

FE

_D

AT

[1]

FE

_D

AT

[14]

FE

_D

AT

[0]

FE

_D

AT

[15]

DIO

R

AT

A_

AD

D[3

]

AT

A_

AD

D[4

]

D3.3

V

AT

A_D

AT

[0-1

5]

AT

A_D

AT

[10]

AT

A_D

AT

[7]

AT

A_D

AT

[8]

AT

A_D

AT

[6]

AT

A_D

AT

[9]

AT

A_D

AT

[5]

AT

A_D

AT

[4]

AT

A_D

AT

[11]

AT

A_D

AT

[3]

AT

A_D

AT

[12]

AT

A_D

AT

[2]

AT

A_D

AT

[13]

AT

A_D

AT

[1]

AT

A_D

AT

[0]

AT

A_D

AT

[14]

AT

A_D

AT

[15]

FE

_D

AT

[7]

FE

_D

AT

[8]

FE

_D

AT

[6]

FE

_D

AT

[9]

FE

_D

AT

[5]

FE

_D

AT

[10]

FE

_D

AT

[4]

FE

_D

AT

[11]

FE

_D

AT

[3]

FE

_D

AT

[12]

FE

_D

AT

[2]

FE

_D

AT

[13]

FE

_D

AT

[1]

FE

_D

AT

[14]

FE

_D

AT

[0]

FE

_D

AT

[15]

AT

A_D

AT

[7]

AT

A_D

AT

[8]

AT

A_D

AT

[6]

AT

A_D

AT

[9]

AT

A_D

AT

[5]

AT

A_D

AT

[10]

AT

A_D

AT

[4]

AT

A_D

AT

[11]

AT

A_D

AT

[3]

AT

A_D

AT

[12]

AT

A_D

AT

[2]

AT

A_D

AT

[13]

AT

A_D

AT

[1]

AT

A_D

AT

[14]

AT

A_D

AT

[0]

AT

A_D

AT

[15]

FE

_D

AT

[7]

FE

_D

AT

[8]

FE

_D

AT

[6]

FE

_D

AT

[9]

FE

_D

AT

[5]

FE

_D

AT

[10]

FE

_D

AT

[4]

FE

_D

AT

[11]

FE

_D

AT

[3]

FE

_D

AT

[12]

FE

_D

AT

[2]

FE

_D

AT

[13]

FE

_D

AT

[1]

FE

_D

AT

[14]

FE

_D

AT

[0]

FE

_D

AT

[15]

AT

A_

AD

D[0

]

AT

A_

AD

D[3

]

AT

A_

AD

D[4

]

GN

D

DIG

ITA

L( A

TA

PI IF

) S

CH

EM

AT

IC D

IAG

RA

M

p2

04

03

00

1a

_re

v1

SH

EE

T 7

2-1

72

-18

A

12345

BC

DE

FG

MA

IN(V

IDE

O/N

.AU

DIO

) S

CH

EM

AT

IC D

IAG

RA

M

R42

C8

C2

DU

B_T

O_V

HS

R43

R3

R41

C27

Q16

C10

C85

C12

C5

C6

C13

B2

CN

1

C7

L5

GN

D

R38

C26

R39

L2001

R50

C29

C9

C33

X1

Fsc

C37

L6

C72

R2003

FM

A_C

H2

C4

R35

L3

C19

B10

FR

ON

T_V

_IN

R12

FM

A_C

OM

C14

V.P

ULS

E

FM

A_C

H1

Q15

C11

C17

D2

D4

D1

C54

2F

s

TO

FM

A

SH

EE

T 1

0

c

C52

C57

Q9

Q7

R22

R21

C62

L9

C61

L10

Q8

Q10

D3

C58

C59

L7

C60

C56

C38

NA

_P

B

R25

V_F

RO

M_O

SD

TO

ON

SC

RE

EN

SH

EE

T 9

TO

TE

RM

INA

L

SH

EE

T 1

4

TO

VH

S S

YS

CO

N

SH

EE

T 1

3

C51

R26

C22

R23

Q6

GN

D

SW

5V

B5

R24

OS

D_V

IDE

O

Q11

C55

C71

R2007

C63

C64

B8

R30

R29

R28

C66

Q13

Q4

C50

EE

[L]

SE

CA

M_D

ET

2F

sc

SE

CA

M[H

]

PB

_F

M

SE

CA

M_R

EC

_C

OLO

R

EE

[L]

SE

CA

M[H

]

V_T

O_O

SD

Fsc

SE

CA

M_P

B_C

OLO

R

GN

D

C.S

YN

C

V_T

O_O

SD

Q12

B7

ME

SE

CA

M_D

ET

TO

VH

S S

YS

CO

N

SH

EE

T 1

3

TO

ON

SC

RE

EN

SH

EE

T 9

NA

_R

EC

R2021

Q2

SE

CA

M_D

ET

R7

R6

B1

B9

A.M

UT

E_V

HS

[H]

C15

C2004

C2003

SW

12V

R17

CN

2001

K2003

RE

AR

_V

_IN

B4

SB

_G

AIN

K2004

K2002

C2013

I2C

_C

LK

_A

V2

I2C

_D

AT

A_A

V2

D.F

F

S_D

ET

[H]

IC1

C2016 K

2001

SP

_S

HO

RT

[H]

LP

_S

HO

RT

[H]

C16

C20C

21

R2005

C24

R2023

C25

R1

C32

C1

R2

C30

C31

C34

GN

D

TO

TE

RM

INA

L

SH

EE

T 1

5

VID

EO

_E

NV

R11

TU

_A

UD

IO2

TO

TE

RM

INA

L

SH

EE

T 1

4

TO

TE

RM

INA

L

SH

EE

T 1

4

TO

FM

A

SH

EE

T 1

0

TP

106

TP

111

GN

D

TU

_V

IDE

O2

R2022

C.S

YN

C

R2013

R2014

C2006

R2015

C2007

C2008

C2010

C2009

R2016

C2011

R2017

Q2003

R2018

R2019

Q2002

Q2001

C2012

D2001

L14

C75

Q2055

N.R

EC

_S

T[H

]

R37

R2055

C2005

R2056

C2054

C2055

C2053

R2054

Q2051

C2052

Q2053

C2051

N.R

EC

[H]

Q2052

CT

L[-

]

CT

L[+

]T

O V

HS

SY

SC

ON

SH

EE

T 1

3

TO

VH

S S

YS

CO

N

SH

EE

T 1

3

TO

TU

NE

R

SH

EE

T 1

7

R2052

Q2054

R2051

R2053

T2051

R2060

R2059

R2058

R2057

CN

2002

Q3

R34

L4 C28

C74

R20

R33

C73

B3

X2

C3

R5

L1

C2001

C44

C40

C39

C41

C49

C36L15

R31

L11

C2002

R2010

C46

R2008

C35

R18

C68

C43

R14

C48

C47

C45

L2

# #

/6.3

SH

OR

T

/25

OP

EN

SH

OR

T

OP

EN

#

/50

/25

QA

X0740

OP

EN

/25

#

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

/6.3

OP

EN

QQ

R0967-0

01

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

/50

#

OP

EN

OP

EN

# #

OP

EN

OP

EN

#

OP

EN

SH

OR

T

OP

EN

OP

EN

OP

EN

SH

OR

T

#

OP

EN

/6.3

OP

EN

SH

OR

T

SH

OR

T

SH

OR

T

SH

OR

T

JC

P8060-M

SA

OP

EN

SH

OR

T

OP

EN

OP

EN

/50

/6.3

PB

.FM

D.F

F

/16

/50

/50

DT

A144W

KA

UN

211E

RT

1P

44H

C

2S

C2412K

/QR

S/

2S

D601A

/QR

S/

2S

C3928A

/QR

S/

2S

C2412K

/QR

S/

2S

D601A

/QR

S/

2S

C3928A

/QR

S/

/50

1S

S133

1S

S270A

# #

DT

C144W

KA

UN

211E

RT

1N

44H

C

/50

/25

2S

C2412K

/QR

S/

2S

D601A

/QR

S/

2S

C3928A

/QR

S/

MY

DT

C144W

KA

UN

211E

RT

1N

44H

C

2S

A1037A

K/Q

R/

2S

B709A

/QR

/2S

A1530A

/QR

/

OP

EN

P/R

A.E

CT

L

2S

A1037A

K/Q

R/

2S

B709A

/QR

/2S

A1530A

/QR

/

OP

EN

PE

LN

0832

QQ

R0002-0

01

PLN

0954

FE

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

OP

EN

# #

#

/50

/50

/6.3

/50

/50OPEN

OPEN

OPEN

/50

OPEN

OPEN

/50

OPEN

/50

#

470

1

470

100

0.0

1

47

0.0

1

10.1

0.1

1

0.1

10m

6.8

M

10

0.0

1

2.2

10

4p

10µ

0.0

1

100

10

820

10m

0.1

1k

11

0.1

0.0

1

2.2

k

2.2

k

0.0

147

10µ

0.0

1

0.0

1

0.0

1

0.1

0.0

1

0.1

1

18k

0.0

10.0

1

1k 0.0

1

0.0

1

33k

0.1

47

330p

0.1

15k

0.1

10k

3.3

6.2

k

0.0

1

150p

1.5

k

330p

47

0.0

1

27k

10k

12k

390k

0.0

068

330

22

4.7

0.0

015

0.0

012

39k

4.7

18k

4.7

k

4.7

k

4.7

100µ

39p

15k

3.3

4.7

56

0.0

22

10

0.0

047

12k

0.0

82

330p

3.9

k

18k

47k

18k

47k

7p

820

4.7

2.2

0.0147

0.1

4.7

1

1

12k

0.1

10k

0.01

3.3

0.022

0.47

0.0047

220µ

p1

06

29

00

1a

_re

v0

SH

EE

T 8

2-1

92

-20

A

12345

BC

DE

FG

MA

IN(O

N S

CR

EE

N)

SC

HE

MA

TIC

DIA

GR

AM

2F

sc

V_

TO

_O

SD

TO

VID

EO

/N.A

UD

IOS

HE

ET

8

TO

TE

RM

INA

LS

HE

ET

14

TO

TU

NE

RS

HE

ET

17

TO

VH

S S

YS

CO

NS

HE

ET

13

V_

FR

OM

_O

SD

GN

D

SW

5V

R2

23

D2

01

C2

09

C2

25

R2

01

C2

18

R2

26

C2

22

R2

08

CH

AR

A_D

AT

A

L2

04

L2

01

R2

13

R2

24

Q2

08

R2

25

GN

D

R2

20

R2

11

R2

12

B2

06

D2

02

D2

03

Q2

07

B2

03

B2

04

C2

04

L2

02

IC2

01

C20

1C

20

2

R2

16

C2

17

L2

06

C2

14

C2

15

R2

10

C2

13

C2

12

R2

09

C2

11

C2

10

C2

08

C2

07

C2

06

P.M

UT

E[L

]

SY

NC

_D

ET

[H]

I2C

_C

LK

I2C

_D

AT

A

OS

D_

CS

S.C

LK

S.D

AT

A_

FR

_S

YS

L203

R204

R203

R202/6

.3

/50

/50

OP

EN

OP

EN

OP

EN

2S

A1

53

0A

/QR

/

SH

OR

T

SH

OR

T

SH

OR

T

LC

74

77

6-9

79

1

/6.3

OP

EN

/25

OP

EN

QQL37CJ-220Z

VSS1

XTAL_IN

MUTE

CHABLK

LN21

OSC_IN

OSC_OUT

SYNC_DET

CS

SCLK

SDATA

VDD2

CR_OUT

VCO_IN1

VSS3

VDD3

VCO_R

NC

CVOUT

VSS2

CV_IN

CVCR

VDD1

SYNC_IN

SEP_C

SEP_OUT

I2C_DATA

CDLR

RST

VDD1

OPEN

OPEN

10

0

1.5

0.4

7

22

0

1

1k

1

2.2

k

10µ

3.3

k

5.6

K

33

0

10

0

10

0

0.0

1

220

10

K

56

p

22µ

0.2

20

.22

1.8

k

4.7

0.1

6.8

k

10

0p

10

0p

33

p3

3p

10k

p3

0111

00

1a

_re

v0

SH

EE

T 9

2-2

12

-22

A

12345

BC

DE

FG

MA

IN(F

MA

) S

CH

EM

AT

IC D

IAG

RA

M

IC2

20

1

C2

20

8

R2

25

7

C2

25

7

C2

25

6

C2

25

3

C2

25

2

C2

25

1

C2

20

7

C2

20

6

C2

20

5

C2204

R2

23

4

C2

22

8

C2

22

9

C2

22

1

C2

22

0

GN

D

C2

21

9

C2

21

5

C2

21

4

NA

_P

B

R2

25

2

GN

D

NA

_R

EC

SW

5V

SW

12

V

C2

21

6C

22

17

D2

25

1

GN

D

L2

25

1

H.R

EC

_S

T[H

]

A.F

F

A.M

UT

E_

VH

S[H

]

R2

25

1

A.E

NV

/ND

[L]

I2C

_C

LK

_A

V2

I2C

_D

AT

A_

AV

2

TO

VH

S S

YS

CO

N

SH

EE

T 1

3

TO

VID

EO

/N.A

UD

IO

SH

EE

T 8

C2

25

4C

22

55

FM

A_

CH

1

FM

A_

CO

M

FM

A_

CH

2

R2

21

5

R2

20

9

R2

21

4

A.I

N1

[R]

FM

A_O

UT

[R]

A.I

N1

[L]

R2

20

1

FM

A_

OU

T[L

]

F.A

UD

IO[L

]

R2

20

3

F.A

UD

IO[R

]

TP

22

53

R2

20

5

R2

20

7

R2

20

8R

22

06

R2

20

4R

22

02

R2253

Q2

20

3

Q2

20

4

R2

21

0

R2

21

9

R2

21

8

R2

21

3

Q2

20

1

Q2

20

2

R2

22

0

C2

26

1

C2

22

2

2F

sc

R2

21

1

R2

21

2

D2

20

1

C2

25

9

C2

26

2

K2

25

1

K2

25

2

DV

D_

OU

T[L

]

DV

D_O

UT

[R]

R2

23

0

R2

23

2

R2

23

1R

22

33

PA

L_

PB

[H]

GN

D

R2

22

2

R2

22

3

C2

22

3

DE

MO

D2

[RT

O A

UD

IO I/O

SH

EE

T 1

1

TO

WS

.RE

G

SH

EE

T 1

TO

AU

DIO

I/O

SH

EE

T 1

1

TO

VID

EO

/N.A

UD

IO

SH

EE

T 8

]

DE

MO

D2

[L]

R2

22

8

R2

22

9

R2

22

6

R2

22

7

AL

5.8

V

L2

25

2C

22

58

Q2255

A.I

N2

[R]

A.I

N2

[L]

R2

24

4

R2

24

2

R2

24

1

R2

24

3

C2203

C2202

C2201

B2201

C2225

C2226

C2227

C2209

C2210

C2211

R2255

L2201

R2239

C2213

C2212

R2240

C2232

C2233

R2225

R2224C2224

AN

36

51

FB

P

OP

EN

/25

/25

/6.3

OP

EN

OP

EN

/25

OP

EN

/25

/25

/16

OP

EN

1S

S1

33

/6.3

2S

C2

41

2K

/QR

S/

2S

C2

41

2K

/QR

S/

DT

A1

44

WK

A

DT

C1

44

WK

A

OP

EN

/50

SH

OR

T

SH

OR

T

DT

C1

14

EK

A

/50

/50

/25

OPEN

/25

/25

/25

/6.3

SHORT

OPEN

/6.3

OPEN

OPEN

0.0

15

0.0

1

0.0

1

0.0

1

0.0

1

0.0

1

0.0

15

10

10

33

10

0

0.0

22

10

10

10

22

0

47

10

^

4.7

k

47

0.0

1

0~

68

0

68

0

47

k

47

k

47

k

47

k

6.8

k6

.8k

6.8

k6

.8k

10

0

4.7

k

1.2

k

3.3

k

4.7

k

1k

10

0p

0.0

1

2.7

k

2.7

k

0.3

3

10

0p

47

k

47

k

6.8

k6

.8k

4.7

k

51

0

0.0

47

27

k

39

k

27

k

39

k

15

0^

18

0p

6.8

k

6.8

k

47

k

47

k

4.7

4.7

10

1

1

10

10

10

33

27k

0~

47

0~

4.7k

5100.047

p2

04

22

00

1a

_re

v0

SH

EE

T 1

0

!

2-2

32

-24

A

12345

BC

DE

FG

MA

IN(A

UD

IO I/O

) S

CH

EM

AT

IC D

IAG

RA

M

IC2

60

1

IC2

60

2

R2

60

1

R2

60

8

R2

60

2

R2

60

7

C2

60

1

C2

60

2

SW

12

V

SW

-7V

GN

D

R2

65

2

R2

65

1

DE

MO

D1

[R

TO

TE

RM

INA

L

SH

EE

T 1

4

TO

FM

A

SH

EE

T 1

0

]

DE

MO

D1

[L]

A.I

N1

[R]

A.I

N1

[L]

F.A

UD

IO[R

]F

.AU

DIO

[R]

DV

D_

OU

T[R

]

DV

D_

OU

T[L

]

A_IN

PU

T_S

EL1

A_IN

PU

T_S

EL2

VD

R[H

]

A.M

UT

E[L

]

IC2

60

3

D2

60

1

Q2

60

3

R2

61

1

R2

61

0

R2

63

4

R2

63

5

IC2

60

4

FM

A_O

UT

[R]

FM

A_

OU

T[L

]

IC2

60

5

R2

63

3

R2

63

2

LIN

E_

OU

T[R

]

LIN

E_

OU

T[L

]

GN

D[A

]

Q2

60

2

Q2

60

1C

26

03

C2

60

4

R2

63

6

R2

63

7

R2

63

8

AL

5.8

V

A.I

N1

[L]

A.I

N1

[R]

R2

65

4

R2

65

3

GN

D

V3

.3V

AD

_IE

C9

58

C2

65

1

C2

65

3

C2

65

2

C2

65

4

CN

26

0

TO

JU

NC

TIO

N

(AU

DIO

AD

/DA

)

CN

8001

SH

EE

T 2

2

TO

TE

RM

INA

L

SH

EE

T1

4

1

A.I

N2

[R]

A.I

N2

[L]

A.I

N2

[R]

A.I

N2

[L]

IC2

60

7

FR

ON

T[H

]

IC2

60

6

DE

C_

OU

T[R

]

DE

C_

OU

T[L

]

DE

C_

SE

L

F.A

UD

IO[L

]F

.AU

DIO

[L]

DE

MO

D2[R

]

DE

MO

D2

[L]

DE

MO

D2[R

]

DE

MO

D2

[L]

SW

5

TO

TE

RM

INA

L

SH

EE

T 1

4

TO

VD

R S

YS

CO

N

SH

EE

T 1

2

V

SW

5V

R2

66

6

R2606

R2603

R2604

R2605

R2609

R2631

R2655

R2656

R2657

R2660

R2661

R2658

R2659

C2612

C2613

C2616

C2617

C2614

C2615

C2618

C2605

C2606

C2609

C2610

C2607

C2608

C2611

R2615

R2614

R2613

R2612

VC

C

VE

E

RC

45

58

D

BA

X2

X1

XX

0X

3V

DDY

0Y

2Y

Y3

Y1

INH

VE

EV

SS

BU

40

52

BC

F

VC

C

VE

E

RC

45

58

D

OP

EN

OP

EN

BA

X2

X1

XX

0X

3V

DDY

0Y

2Y

Y3

Y1

INH

VE

EV

SS

BU

40

52

BC

F

VC

C

VE

E

RC

45

58

D

OP

EN

OP

EN

/25

/25

OP

EN

OP

EN

OP

EN

/16

/16

OP

EN

OP

EN

DV

D_

IN[R

]

DV

D_

IN[L

]

DV

D_

OU

T[R

]

DV

D_

OU

T[L

]

GN

D

GN

D

GN

D

GN

D(

A)

V3

.3V

AD

_IE

C9

58

GN

D

LA

71

51

LA

71

51

OP

EN

/50

/50

/50

/50

/50

/50

/25

/50

/50

/50

/50

/50

/50

/25

10

0

10

0

3.3

k

3.3

k

0.0

1

0.0

1

10

0

10

0

10

K

10

K

10

0

10

0

10

10

15

k

10

k

47

47

1k

1k

27k

27k

1k

1k

47k

47k

47k

10k

10k

10k

10k

1

1

1

1

4.7

4.7

10

1

1

1

1

4.7

4.7

10

10k

10k

10k

10k

p2

04

23

00

1a

_re

v0

SH

EE

T 1

1

2-2

52

-26