development of mca(flash-adc/fpga) for gamma spectroscopy using nai(tl) detector

27
DEVELOPMENT OF MCA (FLASH-ADC/FPGA BASED) FOR GAMMA SPECTROSCOPY STUDENT : BÙI TUẤN KHẢI ADVISOR : MSc. NGUYỄN QUỐC HÙNG REVIEWER: MSc. LÊ CÔNG HẢO UNDERGRADUATE THESIS UNIVERSITY OF SCIENCE - HO CHI MINH CITY FACULTY OF PHYSICS AND ENGINEERING PHYSICS DEPARTMENT OF NUCLEAR PHYSICS

Upload: ken-bui

Post on 29-Dec-2015

131 views

Category:

Documents


4 download

DESCRIPTION

The development of MCA (Flash-ADC/FPGA) for gamma spectroscopy are the modifying of embedded VHDL code and LabVIEW interface software. The MCA works at 3kHz with no deadtime. It is good to be use in low dose-rate experiments.

TRANSCRIPT

Page 1: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

DEVELOPMENT OF MCA (FLASH-ADC/FPGA

BASED) FOR GAMMA SPECTROSCOPY

STUDENT : BÙI TUẤN KHẢI

ADVISOR : MSc. NGUYỄN QUỐC HÙNG

REVIEWER: MSc. LÊ CÔNG HẢO

UNDERGRADUATE THESIS

UNIVERSITY OF SCIENCE - HO CHI MINH CITY

FACULTY OF PHYSICS AND ENGINEERING PHYSICS

DEPARTMENT OF NUCLEAR PHYSICS

Page 2: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

OUTLINE

MCA (Flash-ADC/FPGA)

LabVIEWTM interface

Evaluation of MCA

NaI(Tl) gamma spectroscopy

Conclusions and Proposals

Introduction and Motivation

Page 3: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

INTRODUCTION & MOTIVATION

Flash-ADC and FPGA technology have been applied in nuclear

experiments and aimed some better results in comparison to

traditional analog chain (CAEN, Nomachi’s group, etc.).

Module Flash-ADC/FPGA has been developed since 2010 by

BSc. Nguyễn Thành Trực, MSc. Lê Thành Nhiệm and MSc. Nguyễn

Quốc Hùng for cosmic ray spectroscopy and HPGe spectroscopy.

For development of MCA (Flash-ADC/FPGA based) for higher dose

rate gamma spectroscopy using NaI(Tl) 3inch x 3inch, MCA is sped

up by using a Histogram Memory.

1

Page 4: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

The Gaussian shape pulse from amplifier is the input of multichannel analyzer (MCA).

In commercial MCA (or a PHA), a pulse height is the only concerned information to

build up a histogram.

With modern developed techniques (of ADC), shape of pulse is recorded to calculate

charge integration of pulse.

2

RADIATION SPECTROSCOPY

Pulse

height

U (

mil

iVo

lt)

t (microsec)

CI = Ui

N

i=1

Ui

MCA

Detector Pre-Amp Amp (Pulse Height Analyzer -

PHA)

Display

ADC Control

Logic Memory

MCA (Pulse Charge Integration Analyzer - PCIA)

Page 5: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

MCA USING Flash-ADC/FPGA

MCA (Flash-ADC/FPGA) LabVIEWTM inteface

The development of MCA based on Flash-ADC/FPGA board is to write a VHDL code

for embedded FPGA chip.

Development of computer LabVIEWTM interface for triggering and data taking.

3

RS-232

Multichannel Analyzer

Detector Pre-Amp Amp Flash-

ADC FPGA

Computer

LabVIEW

interface

Page 6: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

RS-232

Multichannel Analyzer

Detector Pre-Amp Amp Flash-ADC FPGA LabVIEW

interface

MCA (Flash-

ADC/FPGA)

Flash-ADC

FPGA

RS-232

FPGA

chip

Flash-ADC:

• Digitize analog signals

• Speed: 250MHz

• Resolution: 8-bit

• Voltage dynamic range: 1000mV

FPGA:

• Figure pulse height

• Figure pulse charge integration

• Build up Histogram Memory

• Transmit Histogram Memory to

computer

VHDL code developed in 2010 by MSc. Nguyễn Quốc Hùng makes FPGA computes

precise tasks of MCA (trigger, pedestal, height of pulse, integration of pulse).

In this thesis, VHDL code is modified to build up a Histogram by charge integration of

pulse right inside FPGA chip and separately transmits Histogram’s information to

computer 4

Page 7: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Embedded VHDL code to write data into Histogram Memory

5

Page 8: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Embedded VHDL code to read data from Histogram Memory

6

Page 9: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

LabVIEWTM

interface RS-232

Multichannel Analyzer

Detector Pre-Amp Amp Flash-ADC FPGA LabVIEW

interface

1 2 3 4

5 6 7 8

9

10

11

12

14

15

13

Channels

Counts

• Interact directly with FPGA.

•Control trigger, size of buffer,

time to measure.

•Presents number of events,

spectrums, pulses (as digital

oscilloscope).

•Save data into file.

7

Saved data can be used to analyze or present spectrum by

familiar software (Genie 2K, Origin)

LabVIEWTM interface

Page 10: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Evaluation of MCA and

gamma spectroscopy using NaI(Tl) 3inch x 3inch

• Evaluation of MCA (Flash-ADC/FPGA) by using

pulse generator

– Time response (dead-time)

– Linearity of MCA (Flash-ADC/FPGA)

• Evaluation of gamma spectroscopy using NaI(Tl) 3inch x

3inch

– Energy calibration (linearity of gamma spectroscopy)

– Energy resolution 8

Page 11: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Evaluation of MCA by using pulse generator

9

Pulse generator generates square pulses with different

widths and frequencies, controlled by users.

Square pulses are shaped by amplifier and become

Gaussian shape.

Shaped pulses are fed into (Flash-ADC/FPGA) with

stable amplitudes and frequencies.

Pulse generator

RS-232

Digital Oscilloscope for monitoring

Pulse

Generator Amplifier

MCA

(Flash-ADC/

FPGA)

Computer

LabVIEW

interface

• For time response, frequency: 12Hz to 12207Hz.

• For linearity of MCA, amplitude: 51mV to 1000mV

• Time of measurement is 100 seconds.

Fig.3.1. Diagram of experiment layout with pulse generator

Page 12: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

TIME RESPONSE

11

Frequency

(Hz)

Events/sec

(sec-1)

12 12.05

24 24.09

48 48.17

95 96.33

191 192.65

381 385.29

763 770.57

1526 1541.12

3052 3082.24

6104 3082.24

12207 4109.96

Table 3.1. DAQ speed of MCA

Fig.3.2. Graph of DAQ of MCA

3052 events/sec

547 events/sec

• 5.6 times faster than previous VHDL code:

3052 events/sec compared with 547 events/sec.

• The MCA detects pulses in frequency of 3kHz

without dead-time.

Page 13: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

LINEARITY OF MCA (FLASH-ADC/FPGA)

14

Fig.3.4. Linear fit of amplitudes and

located channels

• We investigate amplitude in voltage dynamic range of Flash-ADC: 51mV to1000mV

• Linearity of MCA is good with R-square = 0.99994

Fig.3.3. Spectrum of peaks with varied

amplitudes

R-square = 0.99994

Page 14: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Gamma spectroscopy using MCA and NaI(Tl) 3” x 3”

To figure to responses of gamma spectroscopy using MCA (Flash-ADC/FPGA)

and NaI(Tl) 3inch x 3inch.

METHODE:

• RI sources: 133Ba (0.1μCi) and 152Eu (1.05μCi)

• Time of measurement: 1000 seconds.

• Set up experiments to get spectrums.

Estimate energy calibration, energy resolution.

15

RS-232 MCA

(Flash-ADC/

FPGA)

Computer

LabVIEW

interface

Detector

NaI(Tl) Pre-Amp Amp

Fig.3.5. Diagram of Experiment layout with gamma spectroscopy

Page 15: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Gamma spectroscopy using MCA and NaI(Tl) 3” x 3”

16

Fig.3.6. Spectrum of 152Eu

Fig.3.7. Spectrum of 133Ba

791342 events

726745 events

Spectrums of 152Eu and 133Ba contains energy

peaks varied from 36.6 keV to 1.4 MeV.

Page 16: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

17

Table 3.9. Detected energies

Energy (keV) Channel

80.10 143

121.78 220

244.70 462

302.90 572

344.28 653

356.00 677

778.90 1503

964.08 1830

1085.90 2096

1408.00 2650 Fig.3.8. Graph of energy calibration

ENERGY CALIBRATION

E(keV) = (1.958 ± 4.738) + (0.524 ± 0.003) × Ch, R−square = 0.99982

Measured energy is in range of 2.1 MeV

Page 17: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

18

ENERGY RESOLUTION Resolution(%) =

FWHM

Meanx100

Radioisotopes Energy (keV) Channel FWHM R (%) R-square

152Eu

121.78 220 27.9 12.6 0.972

244.70 462 43.3 9.4 0.971

344.28 654 54.8 8.4 0.990

778.90 1503 85.3 5.7 0.905

964.08 1830 91.0 4.9 0.890

1085.90 2096 126.1 6.0 0.964

1408.00 2650 133.3 5.0 0.954

133Ba

80.10 143 25.6 17.9 0.98

302.90 572 43.2 7.6 0.976

356.00 677 57.1 8.4 0.996

Table 3.11. Obtained results of Gaussian fit

Page 18: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

19

ENERGY RESOLUTION

Fig.3.9. Graph of energy resolution

964.08 keV: 4.9%

1085.90 keV: 6.0%

Resolution at energy of

1MeV is about 5%-6%.

Page 19: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

SUMMARY

Using Histogram Memory in VHDL code achieved some goals in experiments with

pulse generator and gamma spectroscopy using NaI(Tl).

• Experiments with pulse generator:

o 5-6 times faster than the old VHDL code (3052 events/s compared with 547 events/s)

In comparison with commercial MCAs, speed of MCA (Flash-ADC/FPGA) is too

slow and can be used for low dose-rate experiments.

o Good linearity

o Suitable parameters to reduce the loss of events.

• Experiments with gamma spectroscopy:

o E(keV) = (1.958 ± 4.738) + (0.524 ± 0.003) × Ch, R−square = 0.99982

o Measured energies are in range of 2.1 MeV.

o Resolution is quite good.

20

Page 20: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

PROPOSALS

• Other transmission cables with higher speed should be concerned. USB

cable with speed of 480MBytes/sec should considered (speed of RS-232

cable is 115200 bits/sec).

• Other experiments (efficiency, dead-time, etc.) should be carried out to

find all responses of a gamma spectroscopy using MCA (Flash-

ADC/FPGA).

21

Page 21: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

THANK YOU FOR

YOUR ATTENTION

Page 22: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Am

pli

tud

e

Ch

ann

els

Time bin

Single

datum

Digitized pulse signal

Am

pli

tud

e (

V)

Time bin

Trigger level

Trigger rising edge

Am

pli

tud

e C

han

nel

s

Elements

(Time bin)

Storing in buffer

1

2

3

Page 23: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

max = datum(pointer)

posi_max = pointer

pointer = 0

max = 0

datum(pointer) >

max

TRUE

FALSE

TRUE

pointer = pointer + 1

pointer =

[size of buffer]

Integration process

FALSE

Page 24: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Integration

of Pulse

Am

pli

tude

Chan

nel

s

Elements in Buffer

(Time bin)

Height of

Pulse

Left Peak

Right Peak

FALSE

posi_max - L

< pointer <

posi_max + R

sum = sum + datum(pointer)

pointer = pointer +1

pointer = 0

sum = 0

pointer =

[size of buffer]

Storing in HM

TRUE

FALSE

TRUE

Page 25: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

25

Reset HM

Reading process

Update latest data Update data

continuously

stop = ‘0’ TRUE FALSE

Page 26: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

Apparatus Parameters Value

Canberra 2026 amplifier

Coarse gain 20

Fine gain 5-1.0

Shaping time

LabVIEW spectrum interface

Time to stop 100sec

Left Peak

Right Peak 25

Number Data Out 150

Delay 60

Time bin 94

6μsec15

Page 27: Development of MCA(Flash-ADC/FPGA) for gamma spectroscopy using NaI(Tl) detector

“freq” Frequency

(Hz) “freq”

Frequency

(Hz)

0 12500000 11 6104

1 6250000 12 3052

2 3125000 13 1526

3 1562500 14 763

4 781250 15 381

5 390625 16 191

6 195313 17 95

7 97656 18 48

8 48828 19 24

9 24414 20 12

10 12207

Table A. Variable “freq” and frequency generated

by pulse generator

6

(freq+1)

25 . 10Frequency (Hz) =

2