class notes digital lec12

Upload: sazzad-hossain-lemon

Post on 08-Apr-2018

216 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/7/2019 Class Notes Digital Lec12

    1/5

    July 18, 2010

    `~ivjvcbx twc,G,we,G, 9661920-73/4980

    dwjZ c`v_wevb, BjKUwbIKwgDwbKkb Bwwbqvwis wefvMXvKv wekwe`vjqXvKv-1000, evsjv`k

    Telephone :

    PABX : 9661920-73/4980

    DEPT. OF APPLIED PHYSICS, ELECTRONICS &

    COMMUNICATION ENGINEERING

    UNIVERSITY OF DHAKA

    DHAKA-1000, BANGLADESH

    FAX: 880-2-8615583

    E-MAIL: [email protected]

    Ref. No............................ Dated, the.

    In case of any query or suggestion please contact Sazzad, Lecturer, APECE, DU (url: sazzadmsi.webs.com)

    Static RAM:In SRAM, the memory cell is essentially a latch and can store data indefinitely as long as the DC power is supplied. Itdoes not need to be periodically refreshed.SRAMs are available in bipolar, MOS (NMOS or CMOS) and BiCMOS technologies. While bipolar SRAM offers arelatively higher speed of operation, MOS technology offers a higher capacity and reduced power consumption.

    Fig.: Typical bipolar and NMOS static-RAM cells.The bipolar cell contains two bipolar transistors and two resistors. It requires more chip area because a bipolartransistor is more complex than a MOSFET and it requires separate resistors.The NMOS cell contains four N-channel MOSFETs and two of them (Q3 and Q4) are used as resistors. Its chip

    density is high.A CMOS memory cell would be similar to the NMOS cell except that it would use P-channel MOSFETs in place of Q 3and Q4. This results in the lowest power consumption but increases the chip complexity.Read Cycle Figure shows the timing diagrams for a complete read cycle for a typical RAM chip. The CPU supplies these inputsignals to the RAM when it wants to read data from a specific RAM address location.

    Fig.: Typical timing for a static-RAM read cycle.

    +VDD

    Q1 Q2

    Q3 Q4

    NMOS cell

    +VCC

    Bipolar cell

    1

    0

    1

    0

    1

    Addressinputs

    WR /

    CS

    Data outputto bus

    New address valid

    Data validHi-ZHi-Z

    RC

    ACC

    CO

    OD

    0 1 2 3 4

    Lec-12, Pg-01

  • 8/7/2019 Class Notes Digital Lec12

    2/5

    July 18, 2010

    `~ivjvcbx twc,G,we,G, 9661920-73/4980

    dwjZ c`v_wevb, BjKUwbIKwgDwbKkb Bwwbqvwis wefvMXvKv wekwe`vjqXvKv-1000, evsjv`k

    Telephone :

    PABX : 9661920-73/4980

    DEPT. OF APPLIED PHYSICS, ELECTRONICS &

    COMMUNICATION ENGINEERING

    UNIVERSITY OF DHAKA

    DHAKA-1000, BANGLADESH

    FAX: 880-2-8615583

    E-MAIL: [email protected]

    Ref. No............................ Dated, the.

    In case of any query or suggestion please contact Sazzad, Lecturer, APECE, DU (url: sazzadmsi.webs.com)

    Prior to time t0, the R/W line is HIGH and stays HIGH throughout the read cycle. The RAMs data output is in its Hi-Z

    state since CS =1. The address inputs will be whatever address is on the address bus from the preceding operation.

    Since CS =1, it will not respond to its old address.At t0, the CPU applies to the RAM inputs the address of the location to be read. After allowing time for the address

    signals to stabilize, the CS line is activated.At t1, the RAM places the data from the addressed location onto the data output line.

    At t2, the CS is returned HIGH, and the RAM output returns to its Hi-Z state after a time interval, tOD.The CPU can take the data from the data bus at any point between t1 and t3.At t4, the CPU changes the address inputs to a different address for the next read or write cycle.

    The RAMs access time tACC is the time between the application of the new address and the appearance of validoutput data.

    The timing parameter tCO is the time it takes for the RAM output to go from Hi-Z to a valid data level once CS isactivated.Write Cycle

    Fig.: Typical timing for a static-RAM write cycle.At t0, the CPU supplies to the RAM a new address of the location to write into.

    After waiting for a time interval tAS, called the address setup time, the CPU drives the R/W and CS lines LOW and

    are held LOW for a time interval tW, called the write time interval.At t1, the CPU applies valid data to the data bus to be written into the RAM.

    At t2, R/W and CS are deactivated.The data must be held at the RAM input for at least a time interval t DS, called the data setup time, prior to t2, and for atleast a time interval tDH, called the data hold time, after t2.Similarly, the address inputs must remain stable for the address hold time interval tAH after t2.At t4, the CPU changes the address lines to a new address for the next read or write cycle.The complete write-cycle time tWC extends from t0 to t4.[Ref.: Digital Systems Principles and Applications, R.J. Tocci and N.S. Widmer]

    1

    0

    1

    0

    1

    Addressinputs

    WR /

    CS

    Data inputfrom bus

    New address valid

    Data validHi-ZHi-Z

    WC

    AS

    W

    DH

    0 1 2 3

    AH

    0

    1

    DS

    4

    Lec-12, Pg-02

  • 8/7/2019 Class Notes Digital Lec12

    3/5

    July 18, 2010

    `~ivjvcbx twc,G,we,G, 9661920-73/4980

    dwjZ c`v_wevb, BjKUwbIKwgDwbKkb Bwwbqvwis wefvMXvKv wekwe`vjqXvKv-1000, evsjv`k

    Telephone :

    PABX : 9661920-73/4980

    DEPT. OF APPLIED PHYSICS, ELECTRONICS &

    COMMUNICATION ENGINEERING

    UNIVERSITY OF DHAKA

    DHAKA-1000, BANGLADESH

    FAX: 880-2-8615583

    E-MAIL: [email protected]

    Ref. No............................ Dated, the.

    In case of any query or suggestion please contact Sazzad, Lecturer, APECE, DU (url: sazzadmsi.webs.com)

    Asynchronous SRAM:Asynchronous SRAMs are those whose operations are not synchronized with the system clock, i.e., they operateindependently of the clock frequency. Data in and data out in these RAMs are controlled by address transition.

    Fig.: Typical architecture of a 64x8 asynchronous SRAM.Figure shows the typical architecture of a 64x8 asynchronous SRAM. It is capable of storing 64 words of eight bitseach. The main blocks include

    (I) a 6-to-64 line address decoder,(II) I/O buffers,(III) 64 memory cells memory cells in a row are represented as an eight-bit register and(IV) control logic for read/write operations.

    Control functions are provide by R/W and CS inputs.

    During the read operation, the status of the R/W and CS pins is 1 and 0, respectively. The input buffers aredisabled and the contents of the selected register appear at the output.

    During the write operation, the status of the R/W and CS pins is 0 and 0, respectively. The input buffers areenabled and the output buffers are disabled. The contents of the input buffers are loaded into the selected register,the previous data are overwritten by the new data. The output buffers, being tristate, are in the High-Z state.

    CS =1 deselects the chip, and both the input and the output data buffers get disabled and go to the high-impedancestate. The contents of the memory remain unaffected.

    Decoder

    6-line-to-64-line

    Register 0

    Register 1

    Register 2

    ---

    Register 62

    Register 63

    Input buffers E

    Output buffers E

    0

    1

    2

    62

    63

    ---

    I7 I6 I1 I0

    O7 O6 O1O0

    A3

    A2

    A1

    A0

    A4

    A5

    Data inputs

    Data outputs

    WR /

    CS

    Addressinputs

    Lec-12, Pg-03

  • 8/7/2019 Class Notes Digital Lec12

    4/5

    July 18, 2010

    `~ivjvcbx twc,G,we,G, 9661920-73/4980

    dwjZ c`v_wevb, BjKUwbIKwgDwbKkb Bwwbqvwis wefvMXvKv wekwe`vjqXvKv-1000, evsjv`k

    Telephone :

    PABX : 9661920-73/4980

    DEPT. OF APPLIED PHYSICS, ELECTRONICS &

    COMMUNICATION ENGINEERING

    UNIVERSITY OF DHAKA

    DHAKA-1000, BANGLADESH

    FAX: 880-2-8615583

    E-MAIL: [email protected]

    Ref. No............................ Dated, the.

    In case of any query or suggestion please contact Sazzad, Lecturer, APECE, DU (url: sazzadmsi.webs.com)

    Synchronous SRAM:Synchronous SRAMs are those whose timings are initiated by clock edges. Address, data in, data out and all othercontrol signals are synchronized with the clock signal and clocked registers are used for the synchronization. In thecase of a computer system it operates at the same clock frequency as the microprocessor. This synchronization ofmicroprocessor and memory ensures faster execution speeds. Synchronous SRAMs normally have an address burstfeature, which allows the memory to read and write at more than one location using a single address.

    Fig.: Architecture of a 16Kx8 synchronous SRAM.Figure shows the basic architecture of a 16Kx8 synchronous SRAM with a burst feature that has five basic buildingblocks

    (I) an array of 64 registers each capable of storing eight bits,(II) a burst-logic, which basically produces a sequence of internal addresses comprises a binary counter andEX-OR gates,(III) an address decoder with fourteen-bit input code to select address locations for reading or writing

    BinaryCounter

    Burstcontrol

    CLK

    Addressregister

    WE

    CS

    OE

    AddressDecoder(14-line-to-16K

    decoder)

    Memory array(16Kx8)

    Data I/OControl

    Write

    register

    Enable

    Register

    Data Input

    RegisterData Output

    Register

    Output

    Buffers

    DataI/O

    lines

    (I/O0-I/O7)

    ---

    ---

    --

    -

    ---

    - - - - - -

    - - -

    - - -

    - - -

    Q0Q1

    A1

    A0

    A0A1

    A13

    A0A1

    A13

    Lec-12, Pg-04

  • 8/7/2019 Class Notes Digital Lec12

    5/5

    July 18, 2010

    `~ivjvcbx twc,G,we,G, 9661920-73/4980

    dwjZ c`v_wevb, BjKUwbIKwgDwbKkb Bwwbqvwis wefvMXvKv wekwe`vjqXvKv-1000, evsjv`k

    Telephone :

    PABX : 9661920-73/4980

    DEPT. OF APPLIED PHYSICS, ELECTRONICS &

    COMMUNICATION ENGINEERING

    UNIVERSITY OF DHAKA

    DHAKA-1000, BANGLADESH

    FAX: 880-2-8615583

    E-MAIL: [email protected]

    Ref. No............................ Dated, the.

    I f ti l t t S d L t APECE DU ( l d i b )

    (a) a certain number of highest address bits are fed directly from address register and(b) the rest lowest address bits are fed from burst-logic output,

    (IV) a read/write control logic and(V) I/O buffers.

    In the case of a two-bit burst logic, the internal address sequence generated is given by A1A0, 01AA , 01AA and

    01 AA , where A0 and A1 are the address bits applied to the burst logic.

    During read operation, WE is kept to 1, and CS and OE are kept to 0. For each negative going CLK input, theburst logic output changes and selects different memory locations for the same external address inputs A 0-A13. Thusthe contents of the selected registers will appear at the eight data outputs one after another. The input buffers remain

    disable so that the data inputs do not affect the memory during a read operation.During write operation, WE and CS are kept to 0 and OE is kept to 1. With CLK inputs, the address decoderselects a burst of memory locations for the same external address inputs A 0-A13. Thus a sequence of eight-bit words

    applied to the data inputs will be loaded into the selected registers one after another with CLK pulses. These inputsdisable the output buffers so that the data outputs are in their Hi-Z state during a write operation.[Ref.: Digital Electronics Principles, Devices and Applications, Anil K. Maini]

    Lec 12 Pg 05