bacus july 2008 v3 - spie 24, issue 7 page 3 n • e • w • s spie awards 2+2 bacus photomask...

10
Figure 1. MeRiT ® MG45 electron beam mask repair system (right) & AIMS™45-193i (left). PHOTOMASK PHOTOMASK BACUS—The international technical group of SPIE dedicated to the advancement of photomask technology. INDUSTRY BRIEFS For new developments in technology —see page 9 JULY 2008 VOLUME 24, ISSUE 7 CALENDAR For a list of meetings —see page 10 N • E • W • S TAKE A LOOK INSIDE: SCHOLARSHIPS AWARDED —see page 3 Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond Christian Ehrlich, Ute Buttgereit, Klaus Boehm, Thomas Scherübl, Carl Zeiss SMS GmbH, Carl Zeiss Promenade 10, 07745 Jena, Germany Klaus Edinger, Tristan Bret, Nawotec GmbH - Carl Zeiss SMS GmbH company ABSTRACT With the continuing decrease of feature sizes on photomasks and the related rising costs for current and future masks the importance of a reliable repair and repair assessment process has often been highlighted. The assessment, repair and repair validation of these expensive masks has become a very substantial factor of the total mask production cost The introduction of immersion lithography and the proposed introduction of double exposure strategies will further amplify this trend. In this paper we have concentrated on masks with feature sizes relevant for the 45nm node and defects with typical size and shape as they appear in production. Phase shifting masks with synthetic defects have been manufactured and the printability of the defects is analyzed with an AIMS™45-193i. For representative defects the outline and three-dimensional shape as well as further characteristics have been visual- ized with the inherent electron microscope capability of the electron beam based repair tool, prior to repairing them with the repair system. In addition we will show the behaviour of the phase of the mask in a region of interest, that is in this case the repair area and its immediate vicinity. This will be done by a special new tool, named Phame ® , developed for measuring the actual phase of smallest mask features with a high spatial resolution. Continues on page 4.

Upload: dinhcong

Post on 21-May-2018

218 views

Category:

Documents


2 download

TRANSCRIPT

Figure 1. MeRiT® MG45 electron beam mask repair system (right) & AIMS™45-193i (left).

PHOTOMASKPHOTOMASKBACUS—The international technical group of SPIE dedicated to the advancement of photomask technology.

INDUSTRY BRIEFSFor new developments in technology—see page 9

JULY 2008VOLUME 24, ISSUE 7

CALENDARFor a list of meetings—see page 10

N • E • W • S

TAKE A LOOK INSIDE:

SCHOLARSHIPS AWARDED—see page 3

Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyondChristian Ehrlich, Ute Buttgereit, Klaus Boehm, Thomas Scherübl, Carl Zeiss SMS GmbH, Carl Zeiss Promenade 10, 07745 Jena, Germany

Klaus Edinger, Tristan Bret, Nawotec GmbH - Carl Zeiss SMS GmbH company

ABSTRACTWith the continuing decrease of feature sizes on photomasks and the related rising costs for current and future masks the importance of a reliable repair and repair assessment process has often been highlighted. The assessment, repair and repair validation of these expensive masks has become a very substantial factor of the total mask production cost The introduction of immersion lithography and the proposed introduction of double exposure strategies will further amplify this trend. In this paper we have concentrated on masks with feature sizes relevant for the 45nm node and defects with typical size and shape as they appear in production. Phase shifting masks with synthetic defects have been manufactured and the printability of the defects is analyzed with an AIMS™45-193i. For representative defects the outline and three-dimensional shape as well as further characteristics have been visual-ized with the inherent electron microscope capability of the electron beam based repair tool, prior to repairing them with the repair system. In addition we will show the behaviour of the phase of the mask in a region of interest, that is in this case the repair area and its immediate vicinity. This will be done by a special new tool, named Phame®, developed for measuring the actual phase of smallest mask features with a high spatial resolution.

Continues on page 4.

Are we having fun yet?!Michael D. Archuletta, Director of Marketing, RAVE LLCIs anybody out there in the Photomask Industry having a good year? I didn’t think so. Are we surviving? Yes. But in my 31 years in the Photomask equipment busi-ness, I have never seen it this slow. The global Photomask Industry is apparently in a serious recession.

The irony of all this is (if you believe the pundits), the Semiconductor Industry at large is not in a down-turn. Speaking at a recent Webcast presentation, George Scalise, President of the Semiconductor Industry Association (SIA) reported the June 2008 forecast for worldwide semiconductor sales at 4.3% growth over 2007. Granted, the June numbers are down from the 7.7% SIA forecast from January, but even at 4.3% growth, the Semiconductor Industry is still expected to out pace the 2008 growth forecasts for World GDP (3.5%) and USA GDP (2.0%). Scalise was quoted as saying, “The (semiconductor) industry is actually doing quite well outside of the memory seg-ment and is still showing immunity to broader US macroeconomic concerns.”

George also reported global wafer fab production capacity at 90%, foundries at 94% and leading edge fabs producing at 97%. However, with all this fairly stable device business going on, overall semiconductor capital spending is down in double digits.

In the meantime, leading Photomask suppliers are reporting unit volume and rev-enues as “fl at,” but profi t margins are way down. But, with a fairly stable Semicon-ductor Industry, why the lull in the Photomask business. Let’s examine the problem. It should be no secret to anyone at this point that expected numbers of new 65nm (and 45nm) node device designs have not ramped at the speed and volume of previ-ous technology nodes. The IDMs and Device Foundries are in fact, still producing lots of 90nm node designs and putting pressure (as usual) on the mask suppliers to lower prices. The mask suppliers are responding (as usual) by dumping prices on each other to maintain market share and volume. With shrinking profi ts and limited demand for (high-priced) advanced products, the industry is having to manage costs and tighten capital investment like never before. Also, there are rumors of continued consolidation in the merchant sector causing several companies to literally freeze capital budgets.

I’ve been commiserating with my counterparts in other Photomask equipment segments and the story is the same everywhere. Equipment sales are down. To say it another way, equipment sales are way down. In some cases, placed purchase orders are being cancelled or deliveries postponed. Consensus is, the Photomask Industry has nearly ceased buying advanced lithography, etch, metrology and repair equipment. I’ve spoken to equipment suppliers that have not had a purchase com-mitment in over 8 months.

Photomask equipment vendors normally look forward to some level of production capacity purchases as new technology nodes ramp. Then new business develops as next generation technologies begin to emerge. Obviously, in this environment the need for capacity buys of advanced equipment are non-existent because the installed base of tools are managing the limited advanced mask demand and nobody can predict when the next generation tools will be needed.

So, if you think your business is bad, imagine what it’s like these days for the equipment suppliers. We are all constraining budgets, conserving R&D expenditures, reducing forces, postponing capital investments and micro-managing cash fl ow to an extreme beyond my fairly extensive experience. Don’t get me wrong, I am not complaining. These cycles are a historical foregone conclusion. I’m just saying that this particular cycle seems to be one of those for the record books.

Now for my warning. Will it all eventually rebound? Of course it will. But, as always happens, when the resurgence comes, no one will be ready. Wafer Fabs will suddenly begin placing volume orders for next generation technology node masks. Mask mak-ers will fi ll the capacity of their current equipment sets and begin struggling with the ramp to newer, high-end products. Everyone will turn to the equipment suppliers at once and start demanding delivery of next generation systems. The problem this time is, the equipment suppliers will have smaller workforces, reduced parts inventories

BACUS News is published monthly by SPIE for BACUS, the international technical group of SPIE dedicated to the advancement of photomask technology. Circulation 2600.

Managing Editor/Graphics Linda DeLano

Advertising Teresa Roles-Meier

BACUS Technical Group Manager Pat Wight

■ 2008 BACUS Steering Committee ■

President Brian J. Grenon, Grenon Consulting

Vice-President John Whittey, Vistec Semiconductor Systems, Inc.

Secretary Warren Montgomery, CNSE

2008 Annual Photomask Chairs Hiroichi Kawahira, Sony Atsugi Technology Ctr. (Japan)

Larry S. Zurbrick, Agilent Technologies, Inc.

International Chair

Wilhelm Maurer, Infi neon Technologies AG (Germany)

Education Chair Wolfgang Staud, B2W Consulting

Newsletter Editors Artur Balasinski, Cypress Semiconductor Corp.

Warren Montgomery, CNSE

SponsorshipsTeresa Roles-Meier, SPIE

Members at Large Frank E. Abboud, Intel Corp.

Michael D. Archuletta, RAVE LLC Uwe Behringer, UBC Microelectronics (Germany)

Peter D. Buck, Toppan Photomasks, Inc.Ute Buttgereit, Carl Zeiss SMS GmbH (Germany)

Thomas Faure, IBM Corp.Gregory K. Hearn, SCIOPT EnterprisesGregg A. Inderhees, KLA-Tencor Corp.Bryan S. Kasprowicz, Photronics, Inc.

Kurt Kimmel, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany)

Paul Leuhrmann, ASML (Netherlands)Mark Mason, Texas Instrument Inc.

Robert (Bob) Naber, Cadence Design Systems, Inc.Emmanuel Rausa, Oerlikon USA Inc.

Douglas J. Resnick, Molecular Imprints, Inc.J. Tracy Weed, Synopsys, Inc.

P.O. Box 10, Bellingham, WA 98227-0010 USATel: +1 360 676 3290 or +1 888 504 8171

Fax: +1 360 647 1445SPIE.org

[email protected]

©2008

All rights reserved.

N • E • W • S

Editorial

Continues on page 8

Volume 24, Issue 7 Page 3

N • E • W • S

SPIE Awards 2+2 BACUS Photomask Scholarships 2008 marks a unique year for the BACUS Scholarship awards. After increasing the annual scholarships from one to two in 2007, this year we are pleased to announce that we also will be awarding two additional honorary awards - made possible through generous donations by Brian Grenon, current BACUS President, and John Bossung, last year’s Lifetime Achievement Awards winner.

The two BACUS Photomask Scholarships are awarded to a full-time undergraduate or graduate student in the fi eld of microlithography with an emphasis on optical tooling and/or semiconductor manufacturing technologies. This scholarship is sponsored by BACUS, SPIE’s Photomask International Technical Group. This year SPIE received over 300 applications, which are reviewed and ranked by the SPIE Scholarship committee.

The 2008 BACUS Scholarship Award winners are Eric Chin and Peng Yu.

Eric Chin is currently a PhD candidate in the Department of Electrical Engineering and Computer Science at the University of California, Berkeley. His major interest is in Design for Manufacturability [DfM], focusing on incorporating information about lithographic variations in the

integrated design fl ow. “Under the guidance of Professor Andy Neureuther I created a novel fl ow to predict interconnect delay variations...”, Chin writes in his application. “This fl ow involved fi nding patterns that are sensitive to aberrations in the optical lithography system and matching them with designer layouts to predict changes in interconnect geometries. These changes are translated to electrical parameters that can be refl ected in the design fl ow.”

Chin has several publications with SPIE, and is an SPIE Student Member.

Peng Yu is currently a PhD candidate in the Department of Electrical and Computer Engineering at the University of Texas at Austin. His major interest is also in Design for Manufacturing [DfM]. In particular, he is interested in faster OPC algorithms and lithography modeling. Peng

writes: “As variations in lithography systems had become more severe, I proposed for the fi rst time a variational lithography model that handles variations analytically (published in SPIE Proceedings 2006). Based on this model, I proposed a process variational aware OPC (published in DAC’06). An extended version with the model calibration method was published in the prestigious journal, JM3’07. Semiconductor Research Corporation (SRC) especially cited this work in term of importance and satisfaction from the SRC members. They also sponsored the patent fi ling of this invention and I will get an SRC Invention Award in this year. My two ICCAD ‘07 papers pushed the state of art in both pixel based and vector based OPC, the two OPC categories. One paper addressed the mask complexity problem in pixel based OPC, which introduced the concept of topological invariance to constrain mask complexity explicitly.” Yu has several publications in DAC, ICCAD, and SPIE Microlithography, and is a Student Member of SPIE.

Two Additional One-Time $1000 Honorary Scholarships Awarded for 2009The two $1000 one-time honorary awards were graciously sponsored through donations back to BACUS. The winners of these awards are Christine Lee and Huda Tanvir.

Christine Lee is a High School Graduate at Peddie School, Highstown, NJ. “With an SPIE scholarship, I hope to pursue my interest in nanotechnology...I want to contribute to the fi eld of nano-optics and nano-photonics”. Christine comes with some of the best letters of recommendation of this year.

Huda Tanvir is a PhD student in Photonics Quantum Electronics at the City University, Northampton Square, London, UK. His “PhD research is based on numerical modeling and simulation of terahertz waveguides. The challenge ...is to design a novel compact waveguide structure for low loss and low dispersion propagation of terahertz waves.

Since the program’s inception in 1978, the SPIE Scholarship Committee has made two types of awards: scholarships to high school, undergraduate, and graduate students in support of research, tuition, and related expenses plus grants to educational institutions for academic use and for student travel to SPIE technical meetings. Through this program SPIE has distributed more than $3,000,000 in scholarship and grant awards.

Individual award amounts range from $1000 to $11,000, including the D.J. Lovell Scholarship, the Society’s largest student award. (This year’s D.J. Lovell award goes to Nikolay Makarov, who was one of the BACUS Scholars in 2007.) Through 2007, a total of 1,019 scholarships to students and 355 grants to educational institutions have been awarded across 84 countries.

Please join us in congratulating this year’s BACUS Scholarship Award winners.

Your Scholarship Subcommittee,Kurt KimmelWarren MontgomeryWolf Staud

Page 4 Volume 24, Issue 7

N • E • W • SContinued from cover.

Figure 2. Image of a single quartz bump on photomask captured with MeRiT® e-beam repair system.

1. IntroductionThe continuing decrease of feature sizes in conjunction with yield-driven costs for current high-end photomasks has resulted in an increased focus on defect printability, mask repair, repair validation and repair cycle times. The increasing complexity of future genera-tions of photomasks and their projections of the costs emphasize the relevance of a shortened and closed mask repair cycle even more.

Clearly, a seamless methodology has the potential to reduce production costs for high-end masks and complete mask sets. Carl Zeiss SMS has, in a concerted development effort with other Zeiss daughter companies, succeeded to introduce a novel mask repair tool. This electron beam based tool is capable of repairing specifi cally all types of advanced masks, such as quartz binary masks, phase shift masks and EUV masks.

The combined usage of this high fi delity e-beam based repair sys-tems MeRiT® with the AIMS™ aerial imaging systems for reticle-defect printability evaluation and inspection after repair has become a quasi standard in many high-end mask shops. The AIMS™ system uses the same reticle-illumination conditions as the scanner as sigma, NA and polarization for which the reticle under investigation is intended to be used. Furthermore the lens of the AIMS™ has the same imag-ing NA as the lenses of the scanner. With the recent introduction of the AIMS™ 45-193i, a tool that was specifi cally developed for the 45nm node, this combined usage has become even more relevant. In conjunction with the 45nm node the AIMS™ 45-193i has to measure structures that are on the order of 180nm. This is already smaller than the utilized illumination wavelengths of 193nm. Under such boundary conditions it is observed that the diffraction of the illumination light strongly depends on the detailed three-dimensional geometry of the mask structures. The tool furthermore supports all kinds of off-axis illumination schemes and polarization choices, as they might be used in the scanners for wafer exposure. The AIMS45™ 45-193i is equipped with a so-called ‘vector-effect emulator’, that, given a certain index-of-refraction of the resist, renders an accurate prediction of the image in the resist.1 This capability is especially helpful for the repair engineer as it allows to assess the success of specifi c repair work in a very extensive manner.

Recently, and with the industry moving steadily into 45nm as well as preparing to expand into 32nm node technology, additional requirements with respect to phase shifting masks have been seen to arise. An ability to measure the optical phase with a spatial resolu-tion comparable to the mask feature sizes, for instance directly at a repair location and in its vicinity, is seen to be of growing interest as it will help to understand all the phase related effects. Carl Zeiss has introduced an optical phase measurement tool (Phame®), providing such capability and extending process control from dedicated and large off-die test features to indie phase shifting features with a high

spatial resolution. In this paper we will give a brief outlook how the interaction of the described repair and measurement tools can be used to ensure higher yields in mask production, even if the complexity of the mask continues to increase as proposed by the roadmaps.

2. The E-beam Repair SystemThe historically employed mask repair technologies, focused ion beam (FIB) and laser based repair, have been seen to reach funda-mental limits in the repair of the most advanced masks. This became especially obvious against the background of the employed resolu-tion enhancement technologies, e.g. when it became necessary to repair optical proximity correction features like scatter bars etc. This situation could be improved with the introduction of a novel electron beam based mask repair tool MeRiT® MG based on the superior capabilities of Carl-Zeiss’ Gemini® electron beam optics.2 Since such an e-beam based mask repair tool is essentially based on a small e-beam lithography tool, the excellent resolution can be clearly understood. The high quality electron optics have the striking advantage of delivering inspection and imaging capabilities by using the system in a SEM mode. The low-voltage operation of the system avoids any alteration of the optical quality of the photomask during imaging, such as staining or other mask damaging effects that were always experienced with the ion beam systems. The right part of Figure 1 shows an overview of a MeRiT® MG45 e-beam mask repair tool. The central part to be seen is the small footprint core unit, with small cabinets for external electronics and gas delivery positioned on each side. Inside the core units vacuum chamber a photomask is positioned, either semi-automatic or via a robotic handling unit, onto a laser interferometer stage. It resides on this position during the repair and subsequent inspection and visualization steps. After navigating to an identifi ed defect area by using the supplied inspection data fi le from an inspection tool, the essential repair step can be performed. For this purpose suitable precursor gases are injected through micro-nozzles in very close vicinity to the incident electron beams fi nal lens. Excited by the electrons, the precursor gas molecules adsorbed on the surface over the respective defect become reactive. Depending on the precursor chemistry, the reaction induced by the incident focused electron beam will lead to two different classes of reactions. There will either be a deposition of materials caused by e-beam induced fragmentation of suitable precursor molecules or there will be a reac-tion between the adsorbed molecules and the substrate material that results in etching the mask absorber material. Both classes of reac-tions generate only volatile by-products. Since the reaction is confi ned to the very small area exposed by the electron beam, this technique allows high resolution nanostructuring. Structures with feature size well below 30nm can be reproduced reliably or removed in a very ac-curate fashion. This allows for two different modes of operation, either

Volume 24, Issue 7 Page 5

N • E • W • S

Continues on page 6.

deposition of materials or removal of materials, both of which can be performed by the MeRiT® tool in any sequence and with literally zero overhead time for interchanging between the two modes of opera-tion. This feature is one of the strongest advocates of the underlying technology, e.g. the potential to execute clear defect repair as well as opaque defect repair with the same precision and through the same operator interface. The electron optical column of the MeRiT® repait tool is differentially pumped and for the customized mask repair processes operated at 1keV. It is one of the striking features of the employed GEMINI® e-beam column, with its combined electrostatic and electromagnetic immersion lens, that, at such comparatively low electron energy of 1keV, still a high current of approximately 50pA and a very small beam spot size of about 3nm can be maintained.3 Obviously, this low energy of the incident electrons has very positive side effects when a damage free repair process is required. Unlike with focused ion beam systems, this allows for basically unlimited imaging of the mask during pre- and post-defect review, without any damage of involved structures or any transmission loss of the quartz mask substrate. This becomes especially important against the background of the higher utilization of phase-shifting masks in current semiconductor lithography. Furthermore the gas fl ow of the employed process gases is controlled by fl ow controllers, depending on the specifi c chemistry involved, and the delivery of the gases to the repair sites is controlled by fast switching valves located extremely close to the nozzle outlets. This close vicinity is made possible by the unique design of the GEMINI® column. The column design makes

Figure 3. Detail of one test module cell on the MoSi Testmask.

use of a ring-shaped in-lens detector for the secondary electrons and does not rely on detectors placed besides the column which might otherwise occupy the required space. In addition to the SE detector, which is mainly used for imaging and pattern recognition tasks, the newly designed 45nm node repair system MeRiT® MG45 includes a second detector, namely a energy sensitive backscatter detector. The signals derived from this detector allow a spatially resolved dif-ferentiation of different materials. For all types of charged particle repair schemes surface charging has always been of a great concern. This is because the impact of any surface charge could defl ect the particle beams, leading to distorted or misplaced repairs. The pro-prietary charge blocking method developed for and implemented in the MeRiT® system has resulted in the complete elimination of the charging impact to the repair process. This has been demonstrated in various repair situations and on the most challenging substrates. As an example Figure 2 shows a live image, captured with the MeRiT® repair system, depicting a singular quartz bump. The visual impression exemplifi es that even in this challenging situation no surface charg-ing distorts the image, rather the imaging quality is good enough to exhibit even very small surface details.

3. Test Masks with DefectsIn order to perform a number of repairs of different shapes and quality we designed a testmask layout, keeping in mind that a large variety

Page 6 Volume 24, Issue 7

N • E • W • S

Figure 4a. Pre-and post-repair SEM images of 180nm L/S pattern bridge defect area.

Continued from page 5.

of defects in different tonality and sizes are required to study the effects of size reduction and RET on advanced reticles. A complete test mask layout consists of more than 100 defect types and includes defect pointers for better navigation and non-defective reference patterns in order to do localized comparisons with AIMS™ as well as with the phase metrology tool Phame®. To have the possibility to undertake wafer exposures for fi nal verifi cation of all measured results at a later time we included stepper alignment targets as well. The masks were exposed and processed with a high-end variable shaped-beam e-beam writer in a merchant mask shop. Binary as well as 6% MoSi-Masks have been manufactured and repair and repair validation processes have been performed. In this paper we decided to limit the discussion on the phase-shifting masks, and here on opaque defects, e.g. the etching processes for the repair step. Figure 3 shows a general overview of one defect cell. In this case a large bridge in 180nm horizontal lines and spaces structures has been repaired.

4. Repair ResultsThe images shown in Figure 4a are close-up scans of a bridge defect in a 180nm horizontal line and space pattern. The left image is a pre-repair image and the right image has been taken immediately after the repair process. Such images are automatically taken with the SE detector of the repair system after the respective process steps. The secondary electron detector image suggests a complete clearance of the bridge and thus successful repair. The energy sensitive backscat-ter (EsB) detector image shown in Figure 4b is a clear indicator that the e-beam assisted etching of the repair tool has indeed removed the phase shifter material completely in the undesired area. Furthermore it is evident that the e-beam repair system repairs with almost zero

offset and no damage is caused to the surrounding structures. In a following step and as the proof for a successful repair all performed repairs undergo an AIMS45™ validation measurement and this is compared to a measurement taken with identical parameters prior to processing the mask in the repair tool. The measurement for the 180nm lines and space pattern defect was performed with a numerical aperture NA=1.35, sigma value of s=0.9 and a disar type shape.

These parameters were varied for the other different defect types against the background of maximum resolution and information sampling of the respective repair under investigation. Figure 5 shows the AIMS™ images for the 180nm lines and space pattern defect area matching the images in Figure 4a,b for the pre- and post-repair situation. Despite some visible minor surface roughness in the post-repair SEM image ( right side of Figure 4a ) the respective AIMS™ image clearly shows that the repaired defect will no longer print. The absence of any staining or debris is proven, as otherwise it would have shown in the aerial image.

Comparable experiments have been performed for a variety of dif-ferent defect types, eg. small extrusions and intrusions and various assist features. Figure 7 gives a visual impression of the respective results, here for a 40nm extrusion (right) and for a missing assist feature of 50nm size (left). Again it is visible that the repair of the e-beam system causes no modifi cation and generates no particles in the surrounding area. This is a pre-requisite for the capability to perform multiple subsequent repairs or in order to achieve tight CD specifi ca-tions, not only for best focus, but also for out-of-focus planes.

As briefl y discussed in the introduction with the newly developed phase metrology system Phame® it has now for the very fi rst time become possible to retrieve the phase behaviour of a small structure on a phaseshifting mask.4 The initial intention for the development

Figure 4b. Post-repair EsB image of 180nm L/S pattern bridge defect area after repair.

Volume 24, Issue 7 Page 7

N • E • W • S

Figure 6. Pre- and post-repair PHAME® images of 180nm L/S pattern bridge defect area.

Continues on page 8.

of the phase metrology system Phame® has come from a number of different requirements, for instance because proximity effects due to the fi nite extension of the phase grating can signifi cantly infl uence the phase value of individual lines, or as a means to improve OPC (optical proximity correction) strategies or other reticle enhancement technolo-gies. Nevertheless, this capability is also of great interest for the mask repair process development and repair success assessment. Figure 6 shows the worlds fi rst spatially resolved phase measurement of a 45nm node relevant programmed defect, again the identical defect area around a 180nm lines and space pattern with a programmed bridge defect was used as in the AIMS™ and e-beam repair images of the MeRiT® system shown above. The localized phase error is clearly identifi ed, as is its absence after the repair has been carried out.

In the hands of a repair engineer this new capability gives rise to a number of interesting applications. At the beginning of the process development and process fi ne tuning one can utilize the phase metrol-ogy system to characterize the respective phase-shifting mask repairs for spatially resolved phase behavior. The technology allows for the fi rst time to de-convolute error contributions from geometrical errors and from phase behavior issues. The possibility to independently as-sess the respective contributions is expected to become necessary at least for the 32nm node masks, 45nm node repair recipe development may already benefi t from it.

5. SummaryWith the combination of aerial image measurement by AIMS™, the spatially resolved phase measurement tool Phame® and the high-accuracy electron beam based mask repair Merit® the workfl ow for 45nm node and beyond phase-shifting masks has reached a new level of quality. In the future the implementation of phase metrol-ogy during the development of mask repair recipes will give deeper insight in the fundamental optical properties of the repair and its immediate neighbourhood. The performance of the employed mask repair system MeRiT® MG45 is shown to meet or sometimes exceed the ITRS requirements for MoSi 6% opaque defects. All qualifi cation measurements were performed with an AIMS™ 45-193i and always included measurements of the relevant reference structures in the neighbourhood of the repair for comparison. The presented work performed on opaque defects for 6% MoSi phase-shifting masks is currently continued for transparent defects, e.g. PSM deposition pro-cesses. Furthermore opaque and transparent high-T PSM masks with for instance 18% transmission are under investigation for the relevant repair processes. For all these masks it is expected that the phase behaviour of the repaired area will have a signifi cant contribution for the integral repair quality and that deeper insights in the phase error contribution will allow for a further optimization of the respective repair applications when they are utilized for 32nm node photomasks.

Figure 5. Pre- and post-repair AIMS(tm) images of 180nm L/S pattern bridge defect area.

Page 8 Volume 24, Issue 7

N • E • W • S

Figure 7. Pre- and post-repair SEM images of a 40nm extrusion defect area and of a 50nm missing assist feature defect area.

Continued from page 7.

and extended deliveries like never before.Most equipment suppliers are sitting on fl oor inventory and

maintaining limited stores of long lead sub-systems. But my sus-picion is, existing fi nished goods these days are small in direct proportion to the long duration of the current sales slump.

A very few mask makers who order early are going to get reasonable delivery of new equipment and be in a position to take advantage of the next up-turn in real time. However, those slow to react are going fi nd severely extended delivery times. Most equipment manufacturers will not be in a position to deliver on a moments notice after the initial inventory is taken. Some Photomask suppliers are going to be very unhappy with their equipment guys.

From a historical perspective it’s like sitting in a car stuck on the railroad tracks, watching a freight train approaching at high speed. So, I’m going to offer some sage advice. One solution is to begin changing our recovery paradigm. Start thinking about capital investment planning in a different way. One of the things most companies rarely do is really partner with their suppliers.

Make up your minds right now, what equipment your going to buy, even if you don’t know when you want delivery. (Now, I know you’re already doing this). But this time, actually partner with the vendor of choice. Lay out your game plan, provide a Letter-of-Intent, in the best case, place a contingent Purchase Order with an extended delivery date. The equipment guys can (literally) take this to the bank. If you’re worried about getting a good price by showing your cards to early, believe me, these days you can take most equipment dealers to the cleaners. Prices right now, for early commitments are unlikely to ever be lower. If you wait until four or fi ve customers are clamor-ing for equipment, the price of poker is going to go way up (and delivery times are going to go way out)! The up-turn will come. As is always the case, the progressive actors will reap the rewards.

That’s it from the Photomask equipment trenches. Let’s all look forward to the return of good times. By the way, are we having fun yet!?

Editorial (continued from page 2)

6. References1. Peter De Bisschop, Vicky Philipsen, Robert Birkner, Ute

Buttgereit, Rigo Richter, Thomas Scherübl, “Using the AIMS™ 45-193i for hyper-NA imaging applications”, Proc. SPIE 6730-51.

2. Ted Liang, Alan Stivers, Michael Penn, Dan Bald, Chetan Sethi, Volker Boegli et al., “Demonstration of Damage-free Mask Repair Using Electron Beam-induced Processes”, Proc. SPIE, Vol. 5446, pp. 291-300, 2004.

3. Klaus Edinger, Hans Becht, Rainer Becker, Volker Bert, Volker Boegli, Michael Budach et al., “A Novel Electron Beam-based Photomask Repair Tool”, Proc. SPIE, Vol. 5256, pp. 1222-1231, 2003.

4. Sascha Perlitz, Ute Buttgereit, Thomas Scherübl, “PHAME: a novel phase metrology tool of Carl Zeiss for in-die phase measurement under scanner relevant optical settings”, Proc.SPIE, Vol. 6518, 2007.

Volume 24, Issue 7 Page 9

N • E • W • S

Industry Briefs

Sponsorship OpportunitiesSign up now for the best Photomask 2008 sponsorship opportunities. Contact:

Teresa Roles-MeierTel: +1 360 676 3290

[email protected]

N • E • W • S

To receive email announcements for these meetings, send an email message to [email protected]; in the body of the message include the words subscribe info-bacus.

Advertise in the BACUS News!

The BACUS Newsletter is the premier publication serving the photomask industry. For information on how to advertise, contact:

Teresa Roles-MeierTel: +1 360 676 3290

[email protected]

BACUS Corporate Members

Aprio Technologies, Inc.ASML US, Inc.Brion Technologies, Inc.Coherent, Inc.Corning Inc.Gudeng Precision Industrial Co., Ltd.Hamatech USA Inc.Inko Industrial Corp.JEOL USA Inc.KLA-Tencor Corp.Lasertec USA Inc.Micronic Laser Systems ABRSoft Design Group, Inc.Synopsys, Inc.Toppan Photomasks, Inc.

■ Strong Growth Seen for Advanced Photomasks

By John Walko, EE Times Europe

After the pain of mediocre growth in 2006, the semiconductor photomask market regained its strong advance last year with growth of 9.4 percent, reaching sales of $2.5 billion, according to market research group The Information Network (New Tripoli, PA.). Demand for photomasks is directly a function of design activity rather than sales volume from products using photomasks, so an increase in the semiconductor market does not necessarily translate to an increase in photomask sales, notes Robert Castellano, President of The Information Network. “For example, the semiconductor market grew 8.9 percent and 3.8 percent in 2006 and 2007, respectively, but the photomask market grew 5.4 percent and 9.4 percent in the same period,” said Castellano. Production of 45-nm masks ramped up last year, while use of 65-nm masks moved into volume production, said the market research group. A 65-nm mask set is comprised of 40 photomasks, fi ve of which are critical (45-nm design rule) and 15 subcritical (90-nm design rule). According to TIN, a 65-nm mask set can cost 1.8 times that of a 90-nm set, while a 45-nm mask set can cost 2.2 times that of a 65-nm version. Toppan led the worldwide merchant market last year for advanced photomasks, with a 42 percent share, followed by DNP and U.S. based Photronics, which dropped 2 share points to 13.6 percent of the market. TIN estimates logic ICs will grow 10 percent this year. Since these parts require more masks and at a higher price, and coupled with a further ramp in 45- and 60-nm mask set consumption, this is predicted to translate into an increase of 14 percent for photomask sales. “We will witness further consolidation in the mask market with the acquisition of Photronics in Q2 2008, leaving Compugraphics, a subsidiary of Princeton, N.J. based Rockwood Specialties, as the only U.S.-based photomask supplier,” added Castellano.

■ Who Killed High-Index Lithography?

By Mark LaPedus, EE TimesWho killed high-index lithography—and are politics at play? Those remain pressing questions, as the next-generation lithography (NGL) race has recently taken some unexpected twists. In May, Cymer Inc. reported a major milestone in extreme ultraviolet (EUV) power sources while Nikon Corp. separately dropped its high-index 193-nm lithography equipment program. The events implied that the industry is narrowing the NGL options for chip production at the 32-nm node and beyond. Double-patterning, EUV, maskless and nanoimprint are still on the table. But the trouble is that maskless and nanoimprint are underfunded and not ready for prime time. EUV lithography remains the strong frontrunner despite ongoing delays, soaring costs and uncertainty with the technology. In other words, there are still no viable NGL solutions for mass production. And amid the confusion, high-index lithography is losing steam and could be out of the running. Nikon scrapped its high-index program. Rival ASML Holding NV has been lukewarm about the subject. And many leading-edge chip makers, reportedly including Intel, Micron and others, have expressed little or no interest in high-index, thereby nearly putting the nail in the coffi n for the technology. In theory, high-index immersion lithography uses a non-water solution to expose a wafer, which will allow the IC industry to extend 193-nm optical lithography to the 22-nm node and beyond. What’s more, it could also push out—if not kill—many non-optical NGL options, namely EUV. Many say high-index lithography is a pie in the sky technology. Still others believe the technology is being taken off the table because it’s a hot potato and presents a threat to EUV. “It’s political,” said one equipment source who spoke on the condition on anonymity, adding high-index could have stood a better change with more funding.

2008

SPIE Photomask Technology

6-10 October Monterey, California, USAspie.org/photomask

SPIE Lithography Asia - Taiwan

4-6 November Taipei, Taiwanwww.spie.org/tl

2009

SPIE Advanced Lithography

22-27 FebruarySan Jose, California, USAspie.org/alAbstracts now being accepted

Photomask Japan

8-10 April Hotel Pacifi co YokohamaYokohama, Japanwww.photomask-japan.org

C a l e n d a r

About the BACUS GroupFounded in 1980 by a group of chrome blank users wanting a single voice to interact with suppliers, BACUS has grown to become the largest and most widely known forum for the exchange of technical information of interest to photomask and reticle makers. BACUS joined SPIE in January of 1991 to expand the exchange of information with mask makers around the world.The group sponsors an informative monthly meeting and newsletter, BACUS News. The BACUS annual Photomask Tech-nology Symposium covers photomask technology, photomask processes, lithography, materials and resists, phase shift masks, inspection and repair, metrology, and quality and manufacturing management.

Join the premier professional organization for mask makers and mask users!

Corporate Membership Benefi ts include:■ One Voting Member in the SPIE General Membership

■ Subscription to BACUS News (monthly)

■ One online SPIE Journal Subscription

■ Exhibit Space discount of 8% at either the Photomask or Advanced Lithography Symposium

■ Listed as a Corporate Member in the BACUS Monthly Newsletterspie.org/bacushome

Individual Membership Benefi ts include:■ Subscription to BACUS News (monthly)

■ Quarterly technical meetings in the Bay Area

■ Reduced registration rates at BACUS Photomask Technology annual meeting

■ Eligibility to hold offi ce on BACUS Steering Committee

spie.org/bacushome

You are invited to submit events of interest for this calendar. Please send to lindad@spie.

org; alternatively, email or fax to SPIE.

SPIE is an international society advancing light-based technologies.

International HeadquartersP.O. Box 10, Bellingham, WA 98227-0010 USATel: +1 888 504 8171 or +1 360 676 3290Fax: +1 360 647 [email protected] • SPIE.org

Shipping Address1000 20th St., Bellingham, WA 98225-6705 USA

2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UKTel: +44 29 20 89 4747Fax: +44 29 20 89 [email protected] • www.spieeurope.org