axi4-stream verification ip v1 - xilinx...axi4‐stream vip v1.0 4 pg277 2017 年 6 月 7 日...

51
AXI4-Stream Verification IP v1.0 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG277 2017 6 7 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Upload: others

Post on 04-Aug-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4-Stream Verification IP v1.0

LogiCORE IP 製品ガイド

Vivado Design Suite

PG277 2017 年 6 月 7 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 2

PG277 2017 年 6 月 7 日 japan.xilinx.com

目次

IP の概要

第 1章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

第 2章: 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

パフォーマンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ユーザー パラ メーター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

AXI プロ ト コル チェッ ク と説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

第 3章: コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

第 4章: デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

Vivado IP インテグレーター内の AXI4-Stream VIP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

第 5章: サンプル デザイン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

第 6章: テストベンチAXI4-Stream VIP のサンプル テス トベンチとテス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

便利なコーディングのガイ ド ラインおよび例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

付録 A: アップグレード

付録 B: AXI4‐Stream VIP エージェン トおよびフロー手法AXI4-Stream マスター エージェン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

AXI4-Stream スレーブ エージェン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

AXI4-Stream パススルー エージェン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

READY の生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

付録 C: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

Page 3: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 3

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

Page 4: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 4

PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様

はじめに

ザイ リ ンクス LogiCORE™ IP AXI4-Stream Verification IP (VIP) コアは、 ユーザーが設計した AXI ベースの IP のシ ミ ュレーシ ョ ンをサポートするために開発されました。 AXI4-Stream VIP コアは AXI4-Stream プロ ト コルをサポート します。

AXI4-Stream VIP は、SystemVerilog ク ラスのライブラ リ と合成可能な RTL で構成される、 非暗号化 SystemVerilog ソースです。

内蔵 RTL インターフェイスは、 仮想インターフェイスを介して AXI4-Stream VIP が制御します。 AXI4-Stream ト ランザクシ ョ ンは、 ユーザーの検証環境内で構築され、AXI4-Stream ド ラ イバー ク ラスに渡されます。 AXI4-Stream ド ラ イバー ク ラスはインターフェイス上の信号のタイ ミ ングと駆動を管理します。

機能

• 次のサイズをサポート

° 大 512 バイ トのデータ幅

° 大 32 ビッ トの ID 幅

° 大 32 ビッ トの DEST 幅

• アサーシ ョ ン プロパティをサポートするツールでの ARM ベースの ト ランザクシ ョ ン レベルのプロ ト コル チェッ ク

• SystemVerilog ビヘイビアー構文

• SystemVerilog ク ラス ベースの API

IP の概要

この LogiCORE™ IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)

UltraScale+™、 UltraScale™、

Zynq®-7000 All Programmable SoC、7 シ リーズ FPGA

サポート される

ユーザー インターフェイス

AXI4-Stream

リ ソース N/A

コアに含まれるもの

デザイン ファイル N/A

サンプル デザイン SystemVerilog

テス トベンチ N/A

制約ファイル N/A

シ ミ ュレーシ ョ ン モデル

非暗号化 SystemVerilog

サポート される ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン フロー (2)(3)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン(4)

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノート ガイ ド、 インス トール

およびライセンス』 を参照

合成 N/A

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、 インス トールおよび

ライセンス』 を参照してください。

3. この IP は Zynq PS 用の VIP は提供しません。 AXI4-Stream イン

ターフェイス用の VIP コアのみを提供します。

4. この IP コアの機能をフルに活用するには、 高度なシ ミ ュレー

シ ョ ン機能をサポートするシ ミ ュレータが必要です。

5. AXI4-Stream VIP は、 VHDL 階層に組み込まれた場合はプロ ト コ

ル チェッカーと してのみ動作します。

6. 2 つの異なる リ ビジ ョ ン/バージ ョ ンの axi4stream_vip パッ

ケージをインポート しないでください。 エラボレーシ ョ ンが失

敗するこ とがあ り ます。

7. すべての AXI4-Stream VIP コアと AXI4-Stream VIP の親コアは、

新バージ ョ ンにアップグレード されている必要があ り ます。

Page 5: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 5

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 1章

概要ザイ リ ンクス LogiCORE™ AXI4-Stream Verification IP (VIP) コアでは、 次が可能です。

• マスター AXI4-Stream コマンドおよび書き込みペイロードの生成

• スレーブ AXI4-Stream 読み出しペイロードおよび書き込み応答の生成

• AXI4-Stream ト ランザクシ ョ ンのプロ ト コル準拠チェッ ク

AXI4-Stream VIP は、 次の 3 つのモードのいずれかと して構成可能です。

• AXI4-Stream マスター VIP

• AXI4-Stream スレーブ VIP

• AXI4-Stream パススルー VIP

図 1-1 に、 AXI4-Stream ペイロードを生成して AXI4-Stream システムに送信する AXI4-Stream マスター VIP を示します。

図 1-2 に、 AXI4-Stream に応答して Ready 信号を生成する AXI4-Stream スレーブ VIP を示します。

X-Ref Target - Figure 1-1

図 1‐1: AXI4‐Stream マスター VIP

X-Ref Target - Figure 1-2

図 1‐2: AXI4‐Stream スレーブ VIP

SystemVerilog InterfaceM_AXI4STREAM

AXI4-Stream Protocol Checker

X18774-030617

SystemVerilog InterfaceS_AXI4STREAM

AXI4-Stream Protocol Checker

X18775-030617

Page 6: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 6

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 1 章:概要

図 1-3 に、 VIP を通過するすべての AXI4-Stream ト ランザクシ ョ ンのプロ ト コル チェッ クを実行する AXI4-Stream パススルー VIP を示します。 パススルー VIP は、 次のモードで動作するよ うに設定できます。

• モニターのみ

• マスター

• スレーブ

機能概要

• AXI4-Stream インターフェイスをサポート

• AXI4-Stream マスター、 AXI4-Stream スレーブ、 およびパススルー モードに設定可能

• 設定可能なシ ミ ュレーシ ョ ン メ ッセージング

• シ ミ ュレーシ ョ ンによる AXI4-Stream プロ ト コル チェッ ク機能を提供

• この VIP の使用例を示した リ ファレンス デザイン

アプリケーシ ョ ン

AXI4-Stream VIP は検証用の IP であ り、 次が実行できます。

• 2 つの AXI4-Stream 接続間のト ランザクシ ョ ンのモニター

• AXI4-Stream ト ランザクシ ョ ンの生成

• AXI4-Stream のプロ ト コル準拠チェッ ク

X-Ref Target - Figure 1-3

図 1‐3: AXI4‐Stream パススルー VIP

SystemVerilog InterfaceM_AXI4STREAMS_AXI4STREAM

AXI4-Stream Protocol Checker

X18776-030617

Page 7: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 7

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 1 章:概要

ライセンスおよび注文情報

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado Design Suite を使用して追加コス ト なしで提供されています。

その他のザイ リ ンクス LogiCORE IP モジュールに関する情報は、 ザイ リ ンクス IP コアのページから入手できます。その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

Page 8: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 8

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 2章

製品仕様

規格

AXI4-Stream インターフェイスは、ARM® Advanced Microcontroller Bus Architecture (AMBA®) AXI4-Stream バージ ョ ン 4 仕様 [参照 1] に準拠しています。

性能

AXI4-Stream VIP コアはワイヤと して合成され、 性能に影響を与えません。

ユーザー パラメーター

表 2-1 に、 AXI4-Stream VIP コアのユーザー パラ メーターを示します。

表 2‐1: AXI4‐Stream VIP のユーザー パラメーター

パラメーター名 フォーマッ ト /範囲 デフォルト値 説明

INTERFACE_MODE

タイプ: string

値の範囲: PASS_THROUGH、MASTER、 SLAVE

PASS_THROUGH プロ ト コルのモードをマスター、 スレーブ、またはパススルーと して設定します。

HAS_TREADYタイプ: long

値の範囲: 0、 10 TREADY ポートの有効化を制御します。

TDATA_WIDTHタイプ: long

値の範囲: 0 ~ 5121 *_AXI4STREAM_tdata の幅

HAS_TUSER_BITS_PER_BYTEタイプ: long

値の範囲: {0, 1}0

バイ ト ごとのユーザー ビッ トが ON か OFF かを制御します。

1: バイ ト ごとのユーザー ビッ ト 。 tuser のサイズはバイ ト ベースで決ま り ます。

0: 転送ごとのユーザー ビッ ト 。 tuser のサイズは転送ベースで決ま り ます。

TUSER_BITS_PER_BYTEタイプ: long

値の範囲: {0, 32}0

HAS_TUSER_BITS_PER_BYTE が 1 の場合、TUSER の値を次のよ うに計算します。

TUSER_WIDTH = (TUSER_BITS_PER_BYTE × TDATA_WIDTH)

Page 9: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 9

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 2 章:製品仕様

ポートの説明

表 2-2 に、 AXI4-Stream VIP の独立したポートの説明を示します。

HAS_TSTRBタイプ: long

値の範囲: 0、 10 TSTRB ポートの有効化を制御します。

HAS_TKEEPタイプ: long

値の範囲: 0、 10 TKEEP ポートの有効化を制御します。

HAS_TLASTタイプ: long

値の範囲: 0、 10 TLAST ポートの有効化を制御します。

TID_WIDTHタイプ: long

値の範囲: 0 ~ 320 *_AXI4STREAM_tid の幅

TDEST_WIDTHタイプ: long

値の範囲: 0 ~ 320 *_AXI4STREAM_tdest の幅

TUSER_WIDTHタイプ: long

値の範囲: 0 ~ 40960 *_AXI4STREAM_tuser の幅

HAS_ACLKENタイプ: long

値の範囲: {0, 1}0

ACLKEN ポートの有効化を制御します。

ユーザー パラ メーターのみ (対応するモデル パラ メーターなし )。

HAS_ARESETNタイプ: long

値の範囲: {0, 1}0

ARESETN ポートの有効化を制御します。

ユーザー パラ メーターのみ (対応するモデル パラ メーターなし )。

表 2‐1: AXI4‐Stream VIP のユーザー パラメーター (続き)

パラメーター名 フォーマッ ト /範囲 デフォルト値 説明

表 2‐2: AXI4‐Stream VIP の独立したポートの説明

信号名 I/O デフォルト 幅 説明 有効化

aclk I 必須 1 インターフェイス ク ロ ッ ク入力 常に ON

aresetn I オプシ ョ ン 1 インターフェイス リセッ ト入力 (アクティブ Low)

HAS_ARESETN == 1

aclken I オプシ ョ ン 1 インターフェイス ク ロ ッ ク イネーブル信号 (アクティブ High)

HAS_ACLKEN == 1

Page 10: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 10

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 2 章:製品仕様

表 2-3 に、マスターモードまたはマスター パススルーモードの AXI4-Stream VIP コアのインターフェイス信号を示します。

表 2-4 に、 スレーブ モードまたはスレーブ パススルー モードに設定された AXI4-Stream VIP コアのインターフェイス信号を示します。

表 2‐3: AXI4‐Stream マスターまたはパススルー VIP のポートの説明

信号名 I/O デフォルト 幅 説明 有効化

m_axi4stream_tdata O TDATA_WIDTH × 8 ス ト リーミ ング データ TDATA_WIDTH > 0

m_axi4stream_tdest O TDEST_WIDTH データ ス ト リーム用のルーティング情報

TDEST_WIDTH > 0

m_axi4stream_tid O 0 TID_WIDTH ス ト リーム データ識別子 TID_WIDTH > 0

m_axi4stream_tkeep I TDATA_WIDTH バイ ト修飾子 (データ バイ ト または NULL バイ ト )

HAS_KEEP

m_axi4stream_tlast O 0b1 1 ス ト リーミ ング パケッ トの 終データ ビート

HAS_LAST

m_axi4stream_tready I 1 スレーブ Ready 信号 (ス ト リーム データ受信可能)

HAS_TREADY

m_axi4stream_tstrb O TDATA_WIDTH ス ト リーミ ング データ用のバイ ト修飾子

HAS_STRB

m_axi4stream_tuser O TUSER_WIDTH ス ト リーム用のユーザー定義の側帯波信号

TUSER_WIDTH > 0

m_axi4stream_tvalid O 必須 1 ス ト リーミ ング データの Valid 信号 Always

表 2‐4: AXI4‐Stream スレーブまたはパススルー VIP のポートの説明

信号名 I/O デフォルト 幅 説明 有効化

s_axi4stream_tdata O TDATA_WIDTH × 8 ス ト リーミ ング データ TDATA_WIDTH > 0

s_axi4stream_tdest O TDEST_WIDTH データ ス ト リーム用のルーティング情報

TDEST_WIDTH > 0

s_axi4stream_tid O 0 TID_WIDTH ス ト リーム データ識別子 TID_WIDTH > 0

s_axi4stream_tkeep I TDATA_WIDTH バイ ト修飾子 (データ バイ ト または NULL バイ ト )

HAS_KEEP

s_axi4stream_tlast O 0b1 1 ス ト リーミ ング パケッ トの 終データ ビート

HAS_LAST

s_axi4stream_tready I 1 スレーブ Ready 信号 (ス ト リーム データ受信可能)

HAS_TREADY

s_axi4stream_tstrb O TDATA_WIDTH ス ト リーミ ング データ用のバイ ト修飾子

HAS_STRB

s_axi4stream_tuser O TUSER_WIDTH ス ト リーム用のユーザー定義の側帯波信号

TUSER_WIDTH > 0

s_axi4stream_tvalid O 1 ス ト リーミ ング データの Valid 信号 Always

Page 11: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 11

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 2 章:製品仕様

AXI プロ ト コル チェ ックと説明

表 2-5 に、 AXI プロ ト コル チェッ ク とその説明を示します。 基本的に 『AXI Protocol Checker LogiCORE IP 製品ガイド』 (PG101) [参照 2] で説明しているアサーシ ョ ンと同じ内容です。

表 2‐5: AXI プロ ト コル チェ ックと説明

プロ ト コル チェ ックの名前 説明

AXI4STREAM_ERRM_TVALID_RESETaresetn が High に移行してから 1 サイクルの間、TVALID は Low になり ます。system_resetn ポートが有効になっていない場合、 このアサーシ ョ ンは利用できません。

AXI4STREAM_ERRM_TID_STABLETVALID がアサート されて TREADY が Low のと き、 TID は安定した状態を維持します。 TREADY と TID がいずれもインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TDEST_STABLETVALID がアサート されて TREADY が Low のと き、TDEST は安定した状態を維持します。TREADY と TDEST がいずれもインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TKEEP_STABLETVALID がアサート されて TREADY が Low のと き、TKEEP は安定した状態を維持します。 TDATA、 TREADY、 および TKEEP がインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TDATA_STABLETVALID がアサート されて TREADY が Low のと き、 TDATA は安定した状態を維持します。 TREADY と TDATA がいずれもインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TLAST_STABLETVALID がアサート されて TREADY が Low のと き、TLAST は安定した状態を維持します。TREADY と TLAST がいずれもインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TSTRB_STABLETVALID がアサート されて TREADY が Low のと き、TSTRB は安定した状態を維持します。 TDATA、 TREADY、 および TSTRB がインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TVALID_STABLEいったんアサート された TVALID は、TREADY が High になるまでアサートされている必要があ り ます。 TREADY がインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TREADY_MAX_WAITTVALID がアサート されてから MAXWAITS サイクル以内に TREADY をアサートするこ とを推奨します。 TREADY がインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TUSER_STABLETVALID がアサート されて TREADY が Low のと き、 TUSER は安定した状態を維持します。 TREADY と TUSER がいずれもインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

AXI4STREAM_ERRM_TKEEP_STABLETKEEP をディアサート した場合は、 TSTRB もディアサートする必要があ ります。 TDATA、 TSTRB、 および TKEEP がインターフェイス上で有効になっている場合にのみ、 このアサーシ ョ ンは有効です。

Page 12: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 12

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 3章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン  ガイド ライン

AXI4-Stream VIP コアは、 AXI4-Stream マスター VIP の場合は図 3-1、 AXI4-Stream スレーブ VIP の場合は図 3-2、AXI4-Stream パススルー VIP の場合は図 3-3 に示すよ うにシステムに挿入する必要があ り ます。

X-Ref Target - Figure 3-1

図 3‐1: AXI4‐Stream マスター VIP のトポロジ例

AXI4-Stream Master VIP

AXI4-Stream Slave System

aresetn

Stream Data

aclk

X18777-021317

Page 13: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 13

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

クロッキング

このセクシ ョ ンは、 この IP コアには適用されません。

リセッ ト

AXI4-Stream VIP には、1 つのアクティブ Low リセッ ト (aresetn) が必要です。このリセッ トは aclk に同期します。

X-Ref Target - Figure 3-2

図 3‐2: AXI4‐Stream スレーブ VIP のトポロジ例

AXI4-Stream Slave VIP

AXI4-Stream Master System

aresetn

Stream Data

aclk

X18778-021317

X-Ref Target - Figure 3-3

図 3‐3: AXI4‐Stream パススルー VIP のトポロジ例

AXI4-Stream Master System

AXI4-Stream Slave System

AXI4-Stream Pass-Through VIP

aresetn

Stream Data

aclk

aresetn

Stream Data

aclk

X18779-021317

Page 14: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 14

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 3]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 6]

コアのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 3] を参照して ください。 IP インテグレーターは、 デザインの検証または生成時に一部のコンフ ィギュレーシ ョ ン値を自動的に計算する場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照してください。 パラ メーター値を確認するには、Tcl コンソールから validate_bd_design コマンドを実行してください。

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. Vivado IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5] を参照してください。

注記: この章の図には Vivado 統合設計環境 (IDE) のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Page 15: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 15

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

図 4-1 に、 Vivado IDE で AXI4-Stream VIP を開いたと きの [Component Name] 設定画面を示します。

注記: ランタイム パラ メーターは、 表 2-1 を参照してください。

• [Component Name] – このコアに対して生成される出力ファイルのベース名です。 初の 1 文字は必ず小文字アルファベッ ト と し、 2 文字目以降は a ~ z、 0 ~ 9、 アンダースコア (_) を自由に組み合わせるこ とができます。

• [INTERFACE MODE] – プロ ト コルのモードをマスター、 スレーブ、 またはパススルーに設定します。

• [Signal Properties] – 特定の信号プロパティを選択します。

ユーザー パラメーター

Vivado IDE のフ ィールド とユーザー パラ メーターの対応関係は、 表 2-1 を参照してください。 ユーザー パラ メーターは Tcl コンソールで確認できます。

X-Ref Target - Figure 4-1

図 4‐1: AXI4‐Stream VIP の [Customize IP] – [Component Name]

Page 16: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 16

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] を参照してください。

AXI4-Stream VIP プロジェク トの出力ファイルは <project_name>/<project_name>.srcs/sources_1/ip/<component_name> にディレク ト リに生成され、 プロジェク トおよび IP ソースは <ip_source_dir> の下に置かれます。 関連するファイルまたはディ レク ト リについて次に説明します。

Vivado デザイン  ツールのプロジェク ト  ファイル

Vivado デザイン ツールのプロジェク ト ファ イルは、 <ip_source_dir> のルートに格納されます。

IP ソース

IP ソースは、 <ip_source_dir> のサブディ レク ト リに格納されます。

表 4‐1: Vivado デザイン  ツールのプロジェク ト  ファイル

名称 説明

<component_name>.xciVivado ツールの IP コンフ ィギュレーシ ョ ン オプシ ョ ン ファ イル。 このファイルは、 Vivado ツールの任意のデザインにインポートでき、 その他すべての IP ソース ファ イルの生成に使用できます。

<component_name>.{veo|vho} AXI4-Stream VIP インスタンシエーシ ョ ン テンプレート。

表 4‐2: IP ソース

名称 説明

hdl/*.sv AXI4-Stream VIP ソース ファ イル。

synth/<component_name>.sv AXI4-Stream VIP によって生成された合成用 上位ファイル。 合成ターゲットが選択されている場合に生成されます。

sim/<component_name>.sv AXI4-Stream VIP によって生成されたシ ミ ュレーシ ョ ン用 上位ファイル。シ ミ ュレーシ ョ ン ターゲッ トが選択されている場合に生成されます。

Page 17: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 17

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

Vivado IP インテグレーター内の AXI4‐Stream VIP

このセクシ ョ ンでは、 設計環境およびテス トベンチ環境での AXI4-Stream VIP の使用方法について説明します。図 4-2 に、 AXI4-Stream VIP を使用したデザイン例を示します。

AXI4-Stream VIP は、 コア デザインの Universal Verification Methodology (UVM) と類似の名称および構造を使用します。 これは SystemVerilog でコーディングされます。 AXI4-Stream VIP は 2 つの部分で構成されます。 1 つは従来の IP (静的/物理的世界のモジュール) 同様にインスタンシエート され、 も う 1 つは検証環境の動的な世界で使用されます。AXI4-Stream VIP は、 仮想インターフェイスを使用して静的な世界を動的な世界に接続する IP コアです。 仮想インターフェイスは、 オブジェク トの動的な世界とモジュールおよびインターフェイスの静的な世界をつなぐ メカニズムです。

AXI4‐Stream マスター VIP

図 4-3 に、 AXI4-Stream マスター VIP とテス トベンチを示します。 テス トベンチは次の 3 つの部分で構成されます。

• ユーザー環境

• マスター エージェン ト

• AXI4-Stream マスター VIP

ユーザー環境とマスター エージェン トは動的な世界にあ り、 AXI4-Stream マスター VIP は静的な世界にあ り ます。ユーザー環境はマスター エージェン ト と通信し、 マスター エージェン トは仮想インターフェイスを介して AXI4-Stream VIP インターフェイス と通信します。

X-Ref Target - Figure 4-2

図 4‐2: AXI4‐Stream VIP を使用したデザイン

AXI4-Stream Master VIP

AXI4-Stream Pass-Through VIP

AXI4-Stream System

AXI4-Stream Slave VIP

AXI4-Stream Slave IP

AXI4-Stream Pass-Through VIP

AXI4-Stream Master IP

X18780-021417

Page 18: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 18

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

マスター エージェン トには次の 3 つのクラス メ ンバーがあ り ます。

• マスター ド ラ イバー

• モニター

• 仮想インターフェイス

図 4-4 は、 ス ト リーム データがどのよ うに構築され、 AXI4-Stream VIP インターフェイスに送信されるかを示しています。 初に、 ユーザー環境でス ト リーム ト ランザクシ ョ ンの変数が宣言されます。 マスター ド ラ イバーは、new() 関数を使用して ト ランザクシ ョ ンを構築します。 次に、 ス ト リーム ト ランザクシ ョ ン メ ンバーをユーザー環境で指定またはランダム化してセッ ト アップします。 マスター書き込みは、 仮想インターフェイスを介して AXI4-Stream VIP インターフェイスに ト ランザクシ ョ ンを送信します。 これを受けて AXI4-Stream VIP インターフェイス ピンが受信を開始します。

X-Ref Target - Figure 4-3

図 4‐3: AXI4‐Stream VIP マスターのテストベンチ

Test Bench

AXI4-Stream System

Interface

Master Agent

Master Driver

Monitor

Virtual Interface

User Environment

Dynamic World

Static World

AXI4-Stream Master VIP

X18781-021417

X-Ref Target - Figure 4-4

図 4‐4: スト リーム ト ランザクシ ョ ン  フロー

Master Agent

Wiggling Pins of Interface

User Environment

Declare Stream Transaction

Fill In/Randomize Transaction

Master Driver

create_transaction

send

X18782-021417

Page 19: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 19

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

AXI4‐Stream スレーブ VIP 

図 4-5 に、 AXI4-Stream スレーブ VIP とテス トベンチを示します。 テス トベンチは次の 3 つの部分で構成されます。

• ユーザー環境

• スレーブ エージェン ト

• AXI4-Stream スレーブ VIP

ユーザー環境とスレーブ エージェン トは動的な世界にあ り、 AXI4-Stream スレーブ VIP は静的な世界にあ り ます。ユーザー環境はスレーブ エージェン ト と通信し、 スレーブ エージェン トは仮想インターフェイスを介して AXI4-Stream VIP インターフェイス と通信します。 スレーブ エージェン トには次の 3 つのクラス メ ンバーがあ り ます。

• スレーブ ド ラ イバー

• モニター

• 仮想インターフェイス

X-Ref Target - Figure 4-5

図 4‐5: AXI4‐Stream VIP スレーブのテストベンチ

Test Bench

AXI4-Stream System

Interface

Slave Agent

Slave Driver

Monitor

Virtual Interface

User Environment

Dynamic World

Static World

AXI4-Stream Slave VIP

X18783-021417

Page 20: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 20

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

図 4-6 は、 ready 信号生成がどのよ うに構築され、 AXI4-Stream VIP インターフェイスに送信されるかを示しています。 初に、 ユーザー環境で ready の変数を宣言されます。 次に、 ユーザー環境はランダム化または直接の値で ready 信号を指定します。

スレーブ ド ライバーは、 仮想インターフェイスを介して AXI4-Stream VIP インターフェイスに ready 信号を送信します。 これを受けて AXI4-Stream VIP インターフェイスと関連するピンが受信を開始します。 ユーザー環境内で ready 信号が作成/生成されない場合は、 デフォルトのランダム化された ready パターンが生成され、 インターフェイスに送信されます。

複数の AXI4‐Stream VIP 

図 4-7 に、 1 つのデザインに複数の AXI4-Stream VIP を備えた構成とそのテス トベンチを示します。 1 つの AXI4-Stream VIP の場合と同様に、 動的な世界と静的な世界が仮想インターフェイスを介して接続されます。

X-Ref Target - Figure 4-6

図 4‐6: ready 生成フロー

Slave Agent

Wiggling Ready Pins of Interface

User Environment

Declare Stream Ready Generation

Fill In/Randomize Ready Generation

Slave Driver

create_ready

send

X18784-021417

X-Ref Target - Figure 4-7

図 4‐7:複数の AXI4‐Stream VIP のテストベンチ

Test Bench

AXI4-Stream System

AXI4-Stream Slave VIP

Inte

rface

Pass-Through Agent

User Stimulus

Dynamic World

Static World

User Stimulus User Stimulus User Stimulus

DUT

AXI4-Stream Master VIP

AXI4-Stream Pass-Through VIP

AXI4-Stream Pass-Through VIP

AXI4-Stream Master IP

AXI4-Stream Slave IP

Inte

rface

Slave AgentMaster AgentPass-Through Agent

X18785-021417

Page 21: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 21

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

IP インテグレーターでの AXI4‐Stream VIP 階層パスの確認

既に説明したよ うに、 ユーザー環境で AXI4-Stream VIP 用のエージェン ト を宣言する必要があ り ます。 また、 ユーザー環境が AXI4-Stream VIP インターフェイスを構築し、 仮想インターフェイス と して設定する際は、 そのインターフェイスをエージェン トに渡す必要があ り ます。 次のガイ ド ラインは、 IP インテグレーターで AXI4-Stream VIP の階層パスを確認する手順を示します。

1. bd デザインを右ク リ ッ ク して、 [Generate Output Products] をク リ ッ ク します (図 4-8)。

2. [General Output Products] が表示されたら、 bd デザインをも う一度右ク リ ッ ク して、 [Create HDL Wrapper] をクリ ッ ク します。

注記: AXI4-Stream VIP コアは SystemVerilog 言語のみをサポート します。

X-Ref Target - Figure 4-8

図 4‐8: [Generate Output Products]

Page 22: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 22

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

3. 図 4-9 に、 ラ ッパー生成後の完成したインスタンスの階層を示します。

4. 生成されたラ ッパーをテス トベンチ内の DUT モジュールと して使用します。3 つの AXI4-Stream VIP の階層パスは、 DUT.design_1.axi4stream_vip_0.inst、 DUT.design_1.axi4stream_vip_1.inst、 および DUT.design_1.axi4stream_vip_2.inst です。

5. 階層内の VIP インスタンスの確認は、 すべての IP の接続と検証チェッ クの完了後に行う こ とを推奨します。[Simulation Settings] をク リ ッ ク して、 シ ミ ュレーシ ョ ン ツールをセッ ト アップし、 [Run Simulation] をク リ ッ クします。 図 4-10 に、 Mentor Graphics 社の Questa Advanced Simulator の結果を示します。 階層を確認した後、SystemVerilog テス トベンチ内でこの階層を使用して AXI4-Stream VIP API を駆動します。

X-Ref Target - Figure 4-9

図 4‐9:完成したデザイン階層

Page 23: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 23

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

IP インテグレーター デザインで AXI4-Stream VIP をインスタンシエート し、 階層パスを確認したら、 次の手順と してテス トベンチで AXI4-Stream VIP を使用します。 第 5 章 「サンプル デザイン」 を参照してください。

コアへの制約

こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

このセクシ ョ ンは、 この IP コアには適用されません。

デバイス、 パッケージ、 スピード  グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

このセクシ ョ ンは、 この IP コアには適用されません。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

X-Ref Target - Figure 4-10

図 4‐10: IP インテグレーターのデザイン階層内の AXI4‐Stream VIP インスタンス

Page 24: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 24

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 4 章:デザイン フローの手順

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバーの配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP コアには適用されません。

シミ ュレーシ ョ ン

Vivado シ ミ ュレーシ ョ ン コンポーネン トについて、 またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 6] を参照してください。

重要: 7 シ リーズまたは Zynq-7000 デバイスをターゲッ トにしたコアでは、 UNIFAST ライブラ リはサポート されません。 ザイ リ ンクスの IP は UNISIM ラ イブラ リでのみテス ト と認定が行われています。

合成およびインプリ メンテーシ ョ ン

AXI4-Stream VIP コアは、 ワイヤと して合成されるよ うに設定された検証用 IP です。 AXI4-Stream VIP 用のインプ リメンテーシ ョ ンはあ り ません。

Page 25: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 26

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 5章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

重要: この IP のサンプル デザインは、 IP のコンフ ィギュレーシ ョ ンに合わせてカスタマイズされています。 このサンプル デザインの目的は、 AXI4-Stream VIP の使用方法の実例を示すこ とです。 AXI4-Stream VIP は、 VHDL 階層に組み込まれた場合はプロ ト コル チェッカーと してのみ動作します。 2 つの異なる リ ビジ ョ ン/バージ ョ ンの axi4stream_vip パッケージをインポート しないでください。 すべての AXI4-Stream VIP と AXI4-Stream VIP の親コアは、 新バージ ョ ンにアップグレード されている必要があ り ます。

概要

図 5-1 に、 AXI4-Stream VIP のサンプル デザインを示します。

このセクシ ョ ンでは、 AXI4-Stream VIP コアがいかに機能するかの実例を示すサンプル テス トについて説明します。サンプル テス トは SystemVerilog で提供されます。 サンプル デザインは、 Tcl コンソール フォルダーの AXI4-Stream VIP インス トール領域に暗号化されたフォーマッ トで含まれています。

コアのサンプル デザインを開く と、 サンプル ファ イルがテス トベンチの標準パスで提供され、 bd デザインはインポート ディレク ト リの下に置かれます。 サンプル デザイン パッケージは、 ディ レク ト リ example.srcs/sources_1/bd/ex_sim/ipshared の下に置かれます。

サンプル デザインは、 次の 3 つのコンポーネン トで構成されています。

• マスター モードの AXI4-Stream VIP

• パススルー モードの AXI4-Stream VIP

• スレーブ モードの AXI4-Stream VIP

X-Ref Target - Figure 5-1

図 5‐1: AXI4‐Stream VIP のサンプル デザイン

Page 26: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 27

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 5 章:サンプル デザイン

AXI4-Stream マスター VIP は、 ス ト リーム ト ランザクシ ョ ンを作成して、 AXI4-Stream パススルー VIP に送信します。 AXI4-Stream パススルー VIP は、 AXI4-Stream マスター VIP からス ト リーム ト ランザクシ ョ ンを受信して、AXI4-Stream スレーブ VIP に送信します。 AXI4-Stream スレーブ VIP は、 ready 信号を生成して AXI4-Stream パススルー VIP に応答を返信し、 AXI4-Stream マスター VIP が ready に設定される と この VIP に応答を返信します。

AXI4-Stream VIP (マスター、 パススルー、 およびスレーブ) のモニターは常に有効であ り、 各インターフェイスからすべての情報を収集します。 これらのモニターは、 インターフェイスの情報を ト ランザクシ ョ ン レベルに変換し、スコアボードに送信します。 テス トベンチには 2 つのスコアボードが内蔵されています。 テス トベンチは、AXI4-Stream パススルー VIP を基準にして AXI4-Stream マスター VIP のセルフチェッ クを実行し、 AXI4-Stream パススルー VIP を基準にして AXI4-Stream スレーブ VIP のセルフチェッ クを実行します。

AXI4-Stream VIP コアは完全な自律型ではあ り ません。 テス トが API を使用して作成されている場合、 ユーザー環境から ト ランザクシ ョ ンをセッ ト アップするにはさまざまな方法があ り ます。 AXI4-Stream プロ ト コルに誤って違反するこ とがあるため、 メンバーに直接アクセスするのではなく、 すべてのメンバーへのアクセスに API を介するこ とを推奨します。

AXI4-Stream VIP がパススルー モードに設定されている場合、 必要に応じてこれをランタイム マスター モードまたはランタイム スレーブ モードに切り替え、 その後パススルー モードに戻すこ とが可能です。

パススルー モードの AXI4-Stream VIP は、 ランタイム マスター モードに切り替わる と、 AXI4-Stream マスター VIP と まった く同じ動作をします。 パススルー モードの AXI4-Stream VIP は、 ランタイム スレーブ モードに切り替わると、 AXI4-Stream スレーブ VIP と同じ動作をします。

重要: AXI4-Stream VIP がパススルー モードに設定されている場合、 必ずすべての ト ランザクシ ョ ンが終了してからモードを切り替えてください。 ト ランザクシ ョ ンの終了を待機する方法の例は、 サンプル デザインを参照して ください。

Page 27: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 28

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6章

テストベンチこの章では、 Vivado® Design Suite で提供されているサンプル デザインのテス トベンチについて説明します。

Vivado IP カタログまたは Vivado IP インテグレーター デザインからサンプル デザインを開くには、 次の手順に従います。

1. Vivado IP カタログからサンプル デザインを開きます。

2. 新規プロジェク ト を開き、 [IP Catalog] をク リ ッ ク します。

3. [AXI4-Stream Verification IP] を検索します。 [AXI-4 Stream Verification IP] をダブルク リ ッ ク して、 IP 設定と生成を実行します。

4. IP を右ク リ ッ ク して、 [Open IP Example Design] をク リ ッ ク します。

注記: AXI4-Stream VIP が IP インテグレーター デザインのコンポーネン トになっている場合は、AXI4-Stream VIP を右ク リ ッ ク して、 [Open IP Example Design] をク リ ッ ク します。

いずれのシナリオでも、 サンプル デザインを使用した新規プロジェク トが作成されます。 サンプル デザインには、図 5-1 に示すよ うに相互に直接接続されたマスター VIP、 パススルー VIP、 およびスレーブ VIP が含まれます。 サンプル デザインのコンフ ィギュレーシ ョ ンは、 元の VIP のコンフ ィギュレーシ ョ ンと同じです。

AXI4‐Stream VIP のサンプル テストベンチとテスト

サンプル デザインは VIP のコンフ ィギュレーシ ョ ンに合わせて生成されるため、 テス トベンチも AXI4-Stream VIP のコンフ ィギュレーシ ョ ンと一致するよ う設定されます。 このサンプル デザインは次のシナリオを実行できます。

• パススルー モードの AXI4-Stream パススルー VIP。 AXI4-Stream マスター VIP は、 簡単な順次ランダム ス トリーム転送を生成し、 AXI4-Stream スレーブ VIP に渡します。

• AXI4-Stream パススルー VIP をランタイム マスター モードに切り替えて、 簡単な順次ランダム ス ト リーム転送を生成し、 AXI4-Stream スレーブ VIP に渡します。

• AXI4-Stream パススルー VIP をランタイム スレーブ モードに切り替えます。 AXI4-Stream マスター VIP が簡単な順次ランダム ス ト リーム転送を生成します。

Page 28: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 29

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

便利なコーディングのガイド ラインおよび例

テストベンチの必須条件

AXI4-Stream VIP 用テス トベンチのコーディングは、 次の要件を満たしている必要があ り ます。 これらを満たさない場合、 AXI4-Stream VIP は機能しません。

1. 2 つの必須パッケージ axi4stream_vip_v1_0_0_pkg と <component_name>_pkg をインポート します。axi4stream_vip_v1_0_0_pkg には、 AXI4-Stream VIP 用のエージェン ト ク ラス とそのサブク ラスが含まれます。 各 VIP インスタンスに、 出力の作成時に自動的に生成されるコンポーネン ト パッケージがあ り ます。このコンポーネン ト パッケージには、 パラ メーターで指定されるエージェン トの typedef ク ラスが含まれます。 VIP を リ コンフ ィギュレーシ ョ ンしてもテス トベンチには影響を与えないため、 このパッケージをインポートするこ とを推奨します。 図 6-1 に、 AXI4-Stream VIP と、 テス トベンチにコピーされる特定のパッケージを示します。

2. コード スニペッ ト をテス トベンチにコピーします (図 6-1 参照)。 X-Ref Target - Figure 6-1

図 6‐1: テストベンチ パッケージのコード

Page 29: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 30

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

サンプル デザインには 3 つの AXI4-Stream VIP がインスタンシエート されているため、テス トベンチ全体では次に示す 4 つのパッケージをインポートする必要があ り ます。

import axi4stream_vip_v1_0_0_pkg::*;import ex_sim_axi4stream_vip_mst_0_pkg::*;import ex_sim_axi4stream_vip_slv_0_pkg::*;import ex_sim_axi4stream_vip_passthrough_0_pkg::*;

サンプル デザイン内の各 AXI4-Stream VIP のコンポーネン ト名は次のとおりです。

° AXI4-Stream マスター VIP: ex_sim_axi4stream_vip_mst_0

° AXI4-Stream スレーブ VIP: ex_sim_axi4stream_vip_slv_0

° AXI4-Stream パススルー VIP: ex_sim_axi4stream_vip_passthrough_0

それぞれに対応するパッケージは次のとおりです。

° ex_sim_axi4stream_vip_mst_0_pkg

° ex_sim_axi4stream_vip_slv_0_pkg

° ex_sim_axi4stream_vip_passthrough_0_pkg

3. 標準的な SystemVerilog テス トベンチと同じ方法で、 モジュールのテス トベンチを作成します。

module testbench(); … endmodule

4. (tready が High のと きに) 使用する ト ランザクシ ョ ン ク ラス ハンドルと ready ク ラス ハンドルを宣言します。

// write transaction created by master VIP axi4stream_transaction wr_transaction; // Ready signal created by slave VIP when TREADY is High axi4stream_ready_gen ready_gen;

5. エージェン ト を宣言します。 通常は、 1 つの AXI4-Stream VIP につき 1 つのエージェン ト を宣言する必要があ ります。 AXI4-Stream VIP がパススルー モードに設定され、 モニターが不要な場合でも、 この IP はランタイム マスター モードまたはランタイム スレーブ モードに切り替わる可能性があるため、 ready ク ラスを宣言しておくこ とを推奨します。

つま り、 6 つの AXI4-Stream VIP を使用するデザインでは、 6 つのコンポーネン ト パッケージをインポート し、6 つのエージェン ト を宣言して (こ こで新たに) 構築し、 適切なインターフェイスを割り当てる必要があ り ます。マスター VIP の場合は component_name_mst_t を宣言し、スレーブ VIP の場合は component_name_slv_t を、 パススルー VIP の場合は component_name_passthrough_t を宣言する必要があ り ます。 エージェン トを構築する際は、 そのエージェン トにインスタンスのインターフェイスを割り当てるよ うに特に注意する必要があ り ます。 階層パスの確認については、 第 4 章 「デザイン フローの手順」 を参照してください。

ex_sim_axi4stream_vip_mst_0_mst_t mst_agent; ex_sim_axi4stream_vip_slv_0_slv_t slv_agent; ex_sim_axi4stream_vip_passthrough_0_passthrough_t passthrough_agent; //After declaration, new has to be done mst_agent = new("master vip agent",DUT.ex_design.axi4stream_vip_mst.inst.IF); slv_agent = new("slave vip agent",DUT.ex_design.axi4stream_vip_slv.inst.IF); passthrough_agent = new("passthrough vip agent",DUT. ex_design.axi4stream_vip_passthrough.inst.IF);

Page 30: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 31

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

6. エージェン トの構築した後にこれを起動するには、 AXI4-Stream マスター VIP またはランタイム マスター モードの AXI4-Stream パススルー VIP の場合は start_master を呼び出す必要があ り ます。 AXI4-Stream スレーブ VIP またはランタイム スレーブ モードの AXI4-Stream パススルー VIP の場合は start_slave を呼び出す必要があ り ます。

エージェン ト を停止するには、 AXI4-Stream マスター VIP またはランタイム マスター モードの AXI4-Stream パススルー VIP の場合は stop_master を呼び出す必要があ り ます。AXI4-Stream スレーブ VIP またはランタイム スレーブ モードの AXI4-Stream パススルー VIP の場合は stop_slave を呼び出す必要があ り ます。パススルー VIP の動作モードは、 ランタイム マスター モード、 ランタイム スレーブ モード、 またはパススルー モードのいずれかです。

したがって、パススルー VIP エージェン トの start_master と start_slave を同時に呼び出すこ とはできません。 パススルー VIP をランタイム マスター モードからランタイム スレーブ モードに切り替える と きは、stop_master を呼び出す必要があ り ます。 パススルー VIP をランタイム スレーブ モードからランタイム マスター モードに切り替える と きは、 stop_slave を呼び出す必要があ り ます。

// start master/slave VIP agentmst_agent.start_master();slv_agent.start_slave();…// stop master/slave VIP agentmst_agent.stop_master();slv_agent.stop_slave();… // passthrough VIP switch to run time master mode passthrough_agent.start_master(); …. // passthrough VIP switch to run time slave mode passthrough_agent.stop_master(); passthrough_agent.start_slave();…// passthrough VIP switch to run time master mode passthrough_agent.stop_slave(); passthrough_agent.start_master();

7. アイ ドル状態にあるバスは、 すべての信号を 0 に駆動します。 これは、 AXI4-Stream VIP 内で AXI4-Stream プロト コル チェッカーが使用されているためです。 AXI4-Stream プロ ト コル チェッカーは合成可能であるため、 間違ったアサーシ ョ ンの ト リガーとなる case 等価性はあ り ません。 これが設定されていない場合、 間違ったアサーシ ョ ンが発生します。 AXI4-Stream プロ ト コル チェッカーの詳細については、 『ARM® Advanced Microcontroller Bus Architecture (AMBA®) AXI バージ ョ ン 4 仕様』 [参照 1] を参照してください。

mst_agent.vif_proxy.set_dummy_drive_type(XIL_AXI_VIF_DRIVE_NONE); slv_agent.vif_proxy.set_dummy_drive_type(XIL_AXI_VIF_DRIVE_NONE); passthrough_agent.vif_proxy.set_dummy_drive_type(XIL_AXI_VIF_DRIVE_NONE);

8. ト ランザクシ ョ ンを作成します。 AXI4-Stream マスター VIP またはランタイム マスター モードの AXI4-Stream パススルー VIP の場合は、 ト ランザクシ ョ ンを作成し、 ランダム化し、 VIP インターフェイスに送信する必要があ り ます。 次のコードは、 どのよ うに 1 つのト ランザクシ ョ ンを作成し、 VIP インターフェイスに送信するかを示しています。

// Master agent create write transaction wr_transaction = mst_agent.wr_driver.create_transaction("write transaction"); // set_transaction_depth is used to setup maximum outstanding write transactions // for master VIP,if not set, default is 16 (optional) mst_agent.wr_driver.set_transaction_depth(2); // randomize the transaction WR_TRANSACTION_FAIL_1a: assert(wr_transaction.randomize()); // send the transaction to VIP interface mst_agent.wr_driver.send(wr_transaction);

Page 31: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 32

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

テス トベンチのオプシ ョ ンの条件

1. ready 信号を作成するには、 AXI4-Stream スレーブ VIP またはランタイム スレーブ モードの AXI4-Stream パススルー VIP を使用します。 tready が有効になるよ うに設定する際に、 特定の ready 信号を作成する場合は、create ready を使用して、 Low および High パターンを設定して VIP インターフェイスに送信します。特定の ready 信号を作成しない場合は、 AXI4-Stream VIP によってデフォルトの ready 信号が生成されます。 次のコードは、 XIL_AXI4STREAM_READY_GEN_OSC と XIL_AXI4STREAM_READY_GEN_SINGLE という異なるポ リシーを使用して 2 つの ready 信号を生成する方法を示しています。 また、set_use_variable_range() を呼び出すこ とで、 ready の Low 時間と High 時間をランダムに生成できます。

// slave agent driver create ready and set it up ready_gen = slv_agent.driver.create_ready("ready_gen"); ready_gen.set_ready_policy(XIL_AXI4STREAM_READY_GEN_OSC);

ready_gen.set_low(1); ready_gen.set_high(2); slv_agent.driver.send_tready(ready_gen);

ready_gen = slv_agent.driver.create_ready("ready_gen 2"); ready_gen.set_ready_policy(XIL_AXI4STREAM_READY_GEN_SINGLE); ready_gen.set_low_time(1); slv_agent.driver.send_tready(ready_gen);

2. AXI4-Stream VIP 用のテス トベンチをコーディングする際に、 オプシ ョ ンでモニターを有効にできます。 コードはモニターおよびスコアボード用に表示されます。

3. モニター ト ランザクシ ョ ンを宣言し、 スコアボード用に構築します。 次に示すのは、 パススルー VIP を基準にしてマスター VIP をチェッ クするスコアボードのコード スニペッ トです。

//monitor transaction from master VIP axi4stream_monitor_transaction mst_monitor_transaction; //monitor transaction queue for master VIP axi4stream_monitor_transaction master_moniter_transaction_queue[$]; // size of master_moniter_transaction_queue xil_axi4stream_uint master_moniter_transaction_queue_size =0; //scoreboard transaction from master monitor transaction queue axi4stream_monitor_transaction mst_scb_transaction; //monitor transaction from passthrough VIP axi4stream_monitor_transaction passthrough_monitor_transaction; //monitor transaction queue for passthrough VIP for scoreboard 1 axi4stream_monitor_transaction passthrough_master_moniter_transaction_queue[$]; //size of passthrough_master_moniter_transaction_queue; xil_axi4stream_uint passthrough_master_moniter_transaction_queue_size =0; //scoreboard transaction from passthrough VIP monitor transaction queue axi4stream_monitor_transaction passthrough_mst_scb_transaction;

// Master VIP monitor collect its interface information and put it into transaction queue initial begin forever begin mst_agent.monitor.item_collected_port.get(mst_monitor_transaction); master_moniter_transaction_queue.push_back(mst_monitor_transaction); master_moniter_transaction_queue_size++; end end

// passthrough vip monitors all the transaction from interface and put then into transaction queue initial begin

Page 32: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 33

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

forever begin passthrough_agent.monitor.item_collected_port. get(passthrough_monitor_transaction); if (exdes_state != EXDES_PASSTHROUGH_SLAVE) begin passthrough_master_moniter_transaction_queue.push_back(passthrough_monitor_transaction); passthrough_master_moniter_transaction_queue_size++; end if (exdes_state != EXDES_PASSTHROUGH_MASTER) begin passthrough_slave_moniter_transaction_queue.push_back(passthrough_monitor_transaction); passthrough_slave_moniter_transaction_queue_size++; end end end

//simple scoreboard doing self checking //comparing transaction from master VIP monitor with transaction from passsthrough VIP in slave side // if they are match, SUCCESS. else, ERROR initial begin forever begin wait (master_moniter_transaction_queue_size>0 ) begin mst_scb_transaction = master_moniter_transaction_queue.pop_front; master_moniter_transaction_queue_size--; wait( passthrough_slave_moniter_transaction_queue_size>0) begin passthrough_slv_scb_transaction = passthrough_slave_moniter_transaction_queue.pop_front; passthrough_slave_moniter_transaction_queue_size--; if (passthrough_slv_scb_transaction.do_compare(mst_scb_transaction) == 0) begin $display("Master VIP against passthrough VIP scoreboard : ERROR: Compare failed"); error_cnt++; end else begin $display("Master VIP against passthrough VIP scoreboard : SUCCESS: Compare passed"); end comparison_cnt++; end end end end

4. API を使用して、 デバッグ目的でエージェン トのタグと冗長性を設定します。

//set tag for agents for easy debugmst_agent.set_agent_tag("Master VIP");slv_agent.set_agent_tag("Slave VIP");passthrough_agent.set_agent_tag("Passthrough VIP");//verbosity level which specifies how much debug information to produce// 0 - No information will be shown.// 400 - All information will be shown.mst_agent.set_verbosity(mst_agent_verbosity);slv_agent.set_verbosity(slv_agent_verbosity);passthrough_agent.set_verbosity(passthrough_agent_verbosity);

Page 33: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 34

PG277 2017 年 6 月 7 日 japan.xilinx.com

第 6 章: テストベンチ

簡単な Loop 構文の例

ダイレク ト テス ト をコーディングする際は、 通常は for ループを使用して、 マスター VIP またはスレーブ VIP、 あるいはその両方に対して大量のスティ ミ ュラスを効率的に生成します。 次に例を示します。

wr_transaction = mst_agent.driver. create_transaction("write transaction");mst_agent.driver.set_transaction_depth(2);for(int i = 0; i < 16; i++) begin WR_TRANSACTION_FAIL_1a: assert(wr_transaction.randomize()); mst_agent.driver.send(wr_transaction);end

この for ループ内で、 マスター VIP エージェン トは 16 のト ランザクシ ョ ンを生成し、 VIP インターフェイスに送信します。 ランダム化エラーのデバッグ用に、 こ こには WR_TRANSACTION_FAIL_1a が追加されています。

ト ランザクシ ョ ンの例 

ト ランザクシ ョ ンの作成後、 異なる手法で ト ランザクシ ョ ンを設定できます。 サンプル デザインでは、 書き込みトランザクシ ョ ンおよび読み出し ト ランザクシ ョ ン用の 3 つの手法を示しています。 手法 1 は、 ト ランザクシ ョ ンの作成後、 ト ランザクシ ョ ンを完全にランダム化します。 手法 2 は、 API を使用した ト ランザクシ ョ ンの設定方法を示しています。

手法 1 (書き込みト ランザクシ ョ ン)

wr_transaction = mst_agent.driver.create_transaction("write transaction");WR_TRANSACTION_FAIL_1b: assert(wr_transaction.randomize());mst_agent.driver.send(wr_transaction);

手法 2 (書き込みト ランザクシ ョ ン)

wr_transaction = mst_agent.driver.create_transaction("write transaction");wr_transaction.set_id(mtest_id);wr_transaction.set_data(mtest_data);wr_transaction.set_dest(mtest_dest);wr_transaction.set_last(mtest_last);wr_transaction.set_strb(mtest_strb);….mst_agent.wr_driver.send(wr_transaction);

Page 34: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 35

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 A

アップグレードこの付録は、 コアの 初のリ リースには適用されません。

Page 35: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 36

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B

AXI4‐Stream VIP エージェン ト設計手法およびフロー

この付録では、 AXI4-Stream VIP エージェン トの設計手法およびフローについて説明します。 AXI4-Stream VIP には、次の 3 つのエージェン トがあ り ます。

• AXI4-Stream マスター エージェン ト

• AXI4-Stream スレーブ エージェン ト

• AXI4-Stream パススルー エージェン ト

AXI4‐Stream マスター エージェン ト

AXI マスター VIP をインスタンシエートする際は、 マスター エージェン ト を宣言して構築する必要があ り ます。 クラス axi4stream_mst_agent には、 マスター Verification IP 全体を構成するほかのコンポーネン ト も含まれます。該当するコンポーネン トは、 モニター、 ド ライバー、 および vif_proxy です。

X-Ref Target - Figure B-1

図 B‐1: AXI4‐Stream マスター VIP エージェン ト

AXI4-Stream Interface

Master DriverAXI4-Stream Monitor

Virtual Interface

User Environment

AXI4-Stream Agent

X18844-031517

Page 36: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 37

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

AXI4‐Stream マスター ド ライバー

• ユーザー環境から ト ランザクシ ョ ンを受信し、 ス ト リーム インターフェイスを駆動します。

• ト ランザクシ ョ ンが受理された場合、 完了した ト ランザクシ ョ ンを返します。

AXI4‐Stream モニター

• AXI4-Stream インターフェイスをモニターします。

• 分析ポートに ト ランザクシ ョ ンを収集します。

書き込みト ランザクシ ョ ン  フロー

AXI4-Stream マスター書き込みト ランザクシ ョ ンは、 次の手順でマスター エージェン ト を通って処理されます。

1. ド ラ イバーが try_next_item によって次のト ランザクシ ョ ンを要求します。 これは get_next_item をブロ ッ クするバリ アン トです。

2. ユーザー環境で 1 つのト ランザクシ ョ ンが作成されます。 この ト ランザクシ ョ ンには次が含まれます。

° コマンド情報 – TID、 TDEST、 TSTRB、 TKEEP、 TUSER、 TLAST、 および TDATA (利用可能な場合)

° マスターによって制御されるタイ ミ ング – 2 つの転送間の遅延

3. ユーザー環境でド ライバーに対して ト ランザクシ ョ ンがプッシュされます。

4. ド ラ イバーは REQUEST ポートから ト ランザクシ ョ ンをポップし、 処理してインターフェイスへ駆動するためにキューに入れます。

5. インターフェイスがスレーブから TREADY を受信する と、 マスター ド ラ イバーは (同じ transaction_id を持つ) ト ランザクシ ョ ンのコピーをユーザー環境に返します。

6. ユーザー環境が完了した ト ランザクシ ョ ンを受信します。 ト ランザクシ ョ ンの ID が更新されるため、 このシーケンスで ト ランザクシ ョ ンが完了したこ とが認識されます。

X-Ref Target - Figure B-2

図 B‐2:書き込みト ランザクシ ョ ン  フロー

Master Driver

User Environment

4

1

2

3

5

6

X18845-031517

Page 37: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 38

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

AXI4‐Stream スレーブ エージェン ト

AXI4-Stream スレーブ VIP をインスタンシエートする際は、 スレーブ エージェン ト を宣言して構築する必要があ ります。 ク ラス slv_agent には、 スレーブ Verification IP 全体を構成するほかのコンポーネン ト も含まれます。該当するコンポーネン トは、 モニターと ド ライバーです。

AXI4‐Stream スレーブ ド ライバー

• ユーザー環境から TREADY ト ランザクシ ョ ンを受信し、 HAS_TREADY が有効の場合は TREADY 信号を駆動します。

• HAS_TREADY が無効の場合は、 TREADY は常時 High に設定されます。

AXI4‐Stream モニター

• AXI4-Stream インターフェイスをモニターします。

• 完了した ト ランザクシ ョ ンを分析ポートに収集します。

TREADY のタイ ミング フロー

• TREADY はス ト リーム ト ランザクシ ョ ンから独立して生成されます。

• TREADY のコンフ ィギュレーシ ョ ンは、 同じ ト ランザクシ ョ ンから得られたものではあ り ません。

• さまざまなタイ ミ ング オプシ ョ ンについては、 「設定可能な Ready の遅延」 を参照してください。

X-Ref Target - Figure B-3

図 B‐3: AXI4‐Stream スレーブ VIP エージェン ト

AXI4-Stream Interface

Slave DriverAXI4-Stream Monitor

Virtual Interface

User Environment

RDATABRESPCMD

AXI4-Stream Slave Agent

CMD

X18847-031517

Page 38: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 39

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

X-Ref Target - Figure B-4

図 B‐4: TREADY のタイ ミング フロー

Initialize Current TREADY

Configuration

GET

Initial TREADY Configuration

TREADY Configuration

Process Current TREADY Configuration

Assert TREADY

Data Accepted

TREADY Configuration Queue If not empty, replace

current TREADY configuration.

data_accepted_eventwrite_address_phase_end

X18848-031517

Page 39: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 40

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

AXI4‐Stream パススルー エージェン ト

AXI4-Stream パススルー VIP をインスタンシエートする際は、 パススルー エージェン ト を宣言して構築する必要があ り ます。 ク ラス axi4stream_passthrough_agent には、 パススルー Verification IP 全体を構成するほかのコンポーネン ト も含まれます。 パススルー VIP は、 ランタイム マスター モードまたはランタイム スレーブ モードに切り替わるオプシ ョ ンを備えています。 パススルー エージェン トには、 モニター、 マスター ド ラ イバー、 およびスレーブ ド ラ イバーが含まれます。

AXI4‐Stream マスター ド ライバー

mst_agent 内の AXI4-Stream マスター ド ラ イバーと同じ機能です。

AXI4‐Stream スレーブ ド ライバー

slv_agent 内の AXI4-Stream スレーブ ド ラ イバーと同じ機能です。

AXI4‐Stream モニター

マスター /スレーブ エージェン ト モニターと同じ機能です。

X-Ref Target - Figure B-5

図 B‐5: AXI4‐Stream パススルー VIP エージェン ト

AXI4-Stream Interface

Master Driver Slave DriverAXI4-Stream Monitor

Virtual Interface

User Environment

AXI4-Stream Pass-Through Agent

X18849-031617

Page 40: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 41

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

READY の生成

READY 信号は、 ほかの属性から独立して生成されます。 axi4stream_ready_gen は、 READY の生成に使用されるク ラスです。

設定可能な Ready の遅延

READY 信号の動作は、 さまざまな条件の影響を受けます。 いつ READY をアサートするかやどれだけの時間 READY をアサート したままにするかについての要件はあ り ません。 電源投入後、 どのよ うな状態になったと きに READY をアサート しなければならないかも規定されていません。

READY 信号の制御は、 スレーブ エージェン トのド ライバーで設定されます。READY 信号の生成を制御するために、2 つの主なコンフ ィギュレーシ ョ ンがあ り ます。 ただし、 プログラ ミ ング モデルを簡略化するために、 これらは異なるコンフ ィギュレーシ ョ ンと して示されるこ とがあ り ます。

表 B-1 に、 設定可能な READY の遅延の説明を示します。

表 B‐1:設定可能な Ready の遅延

メンバー名 デフォルト 範囲 説明

use_variable_ranges FALSE 0 ~ 1

TRUE に設定されている場合、 このプロパティは、 小/ 大範囲に基づいて high_time、 low_time、 および event_count のランダムな値を生成するよ うに ready_gen ク ラスに指示します。

FALSE に設定されている場合、 ready_gen は、 high_time、 low_time、 および event_count のプログラムされた値を使用します。

max_low_time 5 0 ~ 232 - 1 low_time 値の制約に使用されます。 low_time 制約の 大範囲を指定します。

min_low_time 0 0 ~ 232 - 1 low_time 値の制約に使用されます。 low_time 制約の 小範囲を指定します。

low_time 2 0 ~ 232 - 1 使用される場合、 *READY が Low で駆動されるサイクル数を指定します。

max_high_time 5 0 ~ 232 - 1 high_time 値の制約に使用されます。 high_time 制約の 大範囲を指定します。

min_high_time 0 0 ~ 232 - 1 high_time 値の制約に使用されます。 high_time 制約の 小範囲を指定します。

high_time 5 0 ~ 232 - 1 使用される場合、 *READY が High で駆動されるサイクル数を指定します。

max_event_count 1 1 ~ .232 - 1event_count 値の制約に使用されます。 event_count 制約の 大範囲を指定します。

min_event_count 1 1 ~ .232 - 1event_count 値の制約に使用されます。 event_count 制約の 小範囲を指定します。

event_count 1 0 ~ 232 - 1使用される場合、 ポ リシーの終了までにサンプリ ングされるハンドシェイクの数を指定します。

event_count_reset 2000 0 ~ 232 - 1 ウォッチド ッグの待機時間を指定します。

Page 41: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 42

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_SINGLE/RAND_SINGLE (デフォルト  ポリシー )

このポ リシーがアクティブの場合、 low_time サイクルの間 *READY 信号を 0 に駆動した後、 このチャネル上で 1 つのハンドシェイ ク (ready 生成ポリ シーでイベン ト と呼ばれる ) が発生するまで 1 に駆動します。 このポ リシーは、チャネルにほかのポ リシーが適用されるまで繰り返されます。

X-Ref Target - Figure B-6

図 B‐6: GEN_SINGLE/RAND_SINGLE

low_time

*READY

A B

high_time

*VALID

X18603-031517

Page 42: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 43

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_OSC/RAND_OSC – アサート され、 指定されたサイクル数の間アサート されたままになる

このポ リシーがアクティブの場合、 low_time サイクルの間 *READY 信号を 0 に駆動した後、 high_time サイクルの間 1 に駆動します。

注記:指定したサイクル数が経過するまで、 *READY はアサート されたままにな り ます。 このポ リシーは、 チャネルにほかのポ リシーが適用されるまで繰り返されます。

図 B-7 に、 イベン ト A の後、 low_time ACLK の遅延に続いて READY がアサート されているポ リシーの図を示します。 high_time サイ クルの ACLK が経過する と、 READY はディアサート され、 カウンターは A から再開されます。

X-Ref Target - Figure B-7

図 B‐7: GEN_OSC/RAND_OSC

low_time

*READY

A B

high_time

X18601-031517

Page 43: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 44

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_EVENTS/RAND_EVENTS – アサート され、 指定されたイベン ト数の間アサートされたままになる

このポ リシーがアクティブの場合、low_time サイクルの間 *READY 信号を 0 に駆動した後、 event_count 回のハンドシェイ クが発生するまで 1 に駆動します。

注記:内蔵のウォッチド ッグがあ り、 event_cycle_count_reset サイクルが経過しても、 指定した回数のイベントが発生しない場合にト リガーします。 これによ り、 ポ リ シーのその部分は終了します。 このポ リシーは、 チャネルにほかのポ リシーが適用されるまで繰り返されます。

low_time の値の範囲は 0 ~ 256 サイクルです。 N 回のチャネル受理イベン トが発生するまで、 READY はアサートされたままになり ます。 こ こで、 N は 1 ~ N ビートです。 これによ り、 指定したサイクル数が経過した時点で READY をアサート し、 その後無制限に、 または指定した数のイベン トが発生するまで、 アサート したままにすることができます。

セルフドレイン FIFO をモデル化する際は、 イベン ト サイクル カウン ト タイムのリセッ ト機能を利用できます。これによ り、 指定した数のイベン トが発生した時点で (イベン ト サイクル カウン ト タイムが期限切れになっていない限り ) READY をディアサートするよ うに設定できます。 イベン ト サイ クル カウン ト タイムが期限切れになる と、イベン ト カウン トはリセッ ト され、次の N 個のイベン トが発生するまで READY はアサート されたままになり ます。

図 B-8 に、 イベン ト A の後、 low_time ACLK の遅延に続いて READY がアサート されるポ リシーの図を示します。READY はイベン ト E1 ~ E4 の間アサート されたままになり、 指定した数のイベン トが発生したため、 そこでディアサート されます。 アルゴ リズムは A から再開されます。

X-Ref Target - Figure B-8

図 B‐8: GEN_EVENTS/RAND_EVENTS

low_time

Event Counter

*READY

A E1 E2 E3 E4

X18600-031517

Page 44: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 45

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_AFTER_VALID_SINGLE/RAND_AFTER_VALID_SINGLE

このポ リシーは、 *VALID のアサートが検出されたと きにアクティブになり ます。 このポ リシーが有効にされている場合、 low_time の間 *READY を Low に駆動した後、 1 つのハンドシェイ クが検出されるまで *READY をアサートします。 このポ リシーは、 チャネルにほかのポ リシーが適用されるまで繰り返されます。

X-Ref Target - Figure B-9

図 B‐9: GEN_AFTER_VALID_SINGLE/RAND_AFTER_VALID_SINGLE

low_time

*READY

A B

high_time

*VALID

X18603-031517

Page 45: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 46

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_AFTER_VALID_EVENTS/RAND_AFTER_VALID_EVENTS

このポ リシーは、 *VALID のアサートが検出されたと きにアクティブになり ます。 このポ リシーが有効にされている場合、 low_time の間 *READY を Low に駆動した後、 event_count 回のハンドシェイ クを受信するか、 または event_count_reset サイ クルが経過するまで、 *READY を High に駆動します。 このポ リシーは、 チャネルにほかのポ リシーが適用されるまで繰り返されます。

X-Ref Target - Figure B-10

図 B‐10: GEN_AFTER_VALID_EVENTS/RAND_AFTER_VALID_EVENTS

delta1

Event Counter

*READY

A E1 E2 E3 E4

*VALID

X18602-121316

Page 46: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 47

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 B: AXI4‐Stream VIP エージェン ト設計手法およびフロー

GEN_AFTER_VALID_OSC/RAND_AFTER_VALID_OSC

このポ リシーは、 *VALID のアサートが検出されたと きにアクティブになり ます。 このポ リシーが有効にされている場合、 low_time の間 *READY を Low に駆動した後、 high_time の間 *READY を High に駆動します。 このポ リシーは、 チャネルにほかのポ リシーが適用されるまで繰り返されます。

GEN_AFTER_RANDOM

このポ リシーを使用して、 low_time、 high_time、 event_count を含むさまざまなポ リシーをランダムに生成できます。 このポ リシーが使用される場合は、 前のポ リシーが完了した時点で新しいポ リシーをランダムに選択します。

このポ リシーは、 小/ 大のペア数を使用して low_time、 high_time、 および event_count の値を生成します。

X-Ref Target - Figure B-11

図 B‐11: GEN_AFTER_VALID_OSC/RAND_AFTER_VALID_OSC

low_time

*READY

A B

high_time

*VALID

X18603-031517

Page 47: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 48

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 C

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ ト

AXI4-Stream VIP を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでサービス リ クエス ト を作成してください。

資料

この製品ガイ ドは AXI4-Stream VIP に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (https://japan.xilinx.com/support) または Xilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator は、 ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

アンサー 

アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。よ り的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

AXI4‐Stream VIP に関するマスター アンサー

AR: 68726

Page 48: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 49

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 C:デバッグ

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート を japan.xilinx.com/support で提供しています。 ただし、 次のいずれかに該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合。

• 資料で定義されている許容範囲を超えてカスタマイズした場合。

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合。

ザイ リ ンクス テクニカル サポートへのお問い合わせは、 ザイ リ ンクス サポート ウェブ ページを参照してください。

Page 49: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 50

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 D

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

Xilinx Documentation Navigator およびデザイン  ハブ

Xilinx Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースへアクセスでき、 特

定の情報を取得するためにフ ィルター機能や検索機能を利用できます。Xilinx Documentation Navigator を開くには、次

のいずれかを実行します。

• Vivado® IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクスのデザイン ハブでは、 資料へのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられており、 こ

れらを参照するこ とで重要なコンセプ トに関する知識を得たり、 よ くある質問 (FAQ) を参考に問題を解決できます。

デザイン ハブにアクセスするには、 次のいずれかを実行します。

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トでデザイン ハブのページを参照します。

注記: Xilinx Documentation Navigator の詳細は、ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。 Xilinx Documentation Navigator から日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページの一部は翻訳されており、 日本語版が提供されている場合はそのリ ンク も追加されています。

Page 50: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 51

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

参考資料

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。 日本語版のバージ ョ ンは、 英語版よ り古い場合があ ります。

注記:日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. ARM® AMBA® AXI 仕様のダウンロード手順は、 ARM AMBA 仕様に記載されています。 次の仕様書を参照してください。

° 『AMBA AXI4-Stream Protocol Specification』

° 『AMBA AXI Protocol v2.0 Specification』

2. 『AXI Protocol Checker LogiCORE™ IP 製品ガイ ド』 (PG101)

3. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994: 英語版、日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

7. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911: 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904: 英語版、 日本語版)

9. 『LogiCORE IP AXI Interconnect 製品ガイ ド』 (PG059)

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2017 年 6 月 7 日 1.0 • 「IP の概要」 の表に注記 #5 ~ #7 を追加。

• 「AXI プロ ト コル チェッ ク と説明」 の表を更新。

• 「サンプル デザイン」 の章の注記を更新。

2017 年 4 月 5 日 1.0 初版

Page 51: AXI4-Stream Verification IP v1 - Xilinx...AXI4‐Stream VIP v1.0 4 PG277 2017 年 6 月 7 日 japan.xilinx.com Production 製品仕様 はじめに ザイリンクス LogiCORE IP AXI4-Stream

AXI4‐Stream VIP v1.0 52

PG277 2017 年 6 月 7 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含まれる

その他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれの保有

者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。