axi performance monitor v5 - ザイリンクス - all … performance monitor v5.0 japan.xilinx.com...

75
AXI Performance Monitor v5.0 japan.xilinx.com 1 PG037 2015 11 18 AXI Performance Monitor v5.0 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG037 2015 11 18 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。

Upload: nguyencong

Post on 07-May-2018

225 views

Category:

Documents


0 download

TRANSCRIPT

AXI Performance Monitor v5.0 japan.xilinx.com 1PG037 2015 年 11 月 18 日

AXI Performance Monitor v5.0

LogiCORE IP 製品ガイド

Vivado Design Suite

PG037 2015 年 11 月 18 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

AXI Performance Monitor v5.0 2PG037 2015 年 11 月 18 日 japan.xilinx.com

目次

第 1 章 : 概要Advanced モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

Profile モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

Trace モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

サポート されていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

ラ イセンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

第 2 章 : 製品仕様性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

第 3 章 : コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

プログラ ミ ング シーケンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

第 4 章 : デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

第 5 章 : サンプル デザイン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

サンプル デザインの実装 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

第 6 章 : テストベンチサンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

付録 A : 移行およびアップグレードVivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

Vivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

付録 B : デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

AXI Performance Monitor v5.0 3PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 C : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

AXI Performance Monitor v5.0 4PG037 2015 年 11 月 18 日 japan.xilinx.com Production 製品仕様

概要

LogiCORE™ IP AXI Performance Monitor コアを利用するこ とで、 複数スロ ッ ト (AXI4/AXI3/AXI4-Stream/AXI4-Lite) の AXI システムの性能が測定可能になり ます。 このコアは、 接続されている AXI インターフェイスのスループッ トやレイテンシに対して、 設定変更可能な リ アルタイムのパフォーマンス メ ト リ ッ クをキャプチャします。 その他にも、 AXI トランザクシ ョ ンや外部システムのイベン ト を記録し、 ソフ トウェア アプリ ケーシ ョ ンの リ アルタイム プロファイ リ ングを行います。

機能

• AXI4-Lite インターフェイス上で 32 ビッ ト スレーブとして接続

• モニター スロ ッ ト (AXI4/AXI3/ AXI4-Stream/AXI4-Lite) の数を最大 8 まで指定可能

• 任意のデータ幅、 ID 幅、 および周波数のモニター スロ ッ トに対応

• フ リー ランニングのグローバル ク ロ ッ ク カウンター

• AXI のイベン トおよび外部のイベン ト を記録

• AXI のイベン トおよび外部のイベン ト をカウン ト

• 外部イベン ト ト リ ガー機能、 およびイベン ト カウン トと イベン ト ログを使用するク ロス プローブ機能をサポート

その他の機能については、 第 1 章 「概要」 を参照して ください。

IP の概要

この LogiCORE™ IP について

コアの概要

サポート されるデバイス ファ ミ リ(1)

UltraScale+™ ファ ミ リ、

UltraScale™ アーキテクチャ、Zynq®-7000、 7 シ リーズ

サポート されるユーザー インターフェイス

AXI4-Stream、 AXI4-Lite、AXI3、 AXI4

リ ソース 「 リ ソース使用状況」 を参照

コアに含まれるもの

デザイン ファ イル Verilog

サンプル デザイン Verilog

テス トベンチ Verilog

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

なし

サポート される ソフ ト ウェア ド ラ イバー (2)

スタンドアロン、 Linux

テスト済みデザイン フロー (3)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド : リリース ノート ガイ ド、 インス トールおよ

びライセンス』 を参照

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページを参照

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. スタンドアロン ド ライバーの詳細は、 SDK ディ レク ト リ (<install_directory>/doc/usenglish/xilinx_drivers.htm) を参照して く

ださい。 Linux OS およびド ライバー サポートの情報は、

wiki.xilinx.com を参照して ください。

Xilinx Wiki ページを参照して ください。

3. サポート されているツールのバージ ョ ンは、

『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、

インス トールおよびライセンス』 を参照してください。

AXI Performance Monitor v5.0 5PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

第 1 章

概要LogiCORE™ AXI Performance Monitor コアは、 AMBA® AXI システムの主なパフォーマンス メ ト リ ッ クを測定します。 システム内の特定マスター /スレーブ (AXI4/AXI3/AXI4-Stream/AXI4-Lite) のバス レイテンシ、 指定期間内のメモ リ ト ラフ ィ ッ ク量など、 さまざまなパフォーマンス メ ト リ ッ クを測定します。 また、 このコアを使用して、 ソフト ウェア アプリ ケーシ ョ ンのリ アルタイム プロファイ リ ングも可能です。

AXI Performance Monitor (APM) コアは、AXI インターフェイスのシステム動作を解析するにあたって 3 つのモードをサポート しています。

• [Advanced] : Advanced モードは、 AXI4/AXI3/AXI4-Stream/AXI4-Lite インターフェイス上で主に 2 つの機能をサポート します。

° イベン ト ログ機能 : APM は、 指定した AXI モニター スロ ッ トのイベン ト (ユーザーが指定) およびス トリーミ ング FIFO に入力される外部システムのイベン ト を記録します。 システム プロセッサまたは外部ホス ト アプリ ケーシ ョ ンがこのデータを使用して AXI ト ランザクシ ョ ンを再現し、 システムの動作/性能を解析します。

° イベン ト カウン ト機能 : APM は、 AXI スロ ッ ト をモニターして、 AXI インターフェイス ト ランザクシ ョ ンや外部イベン トに関連するイベン ト をカウン ト します。 イベン ト カウンターはユーザーが設定でき、 ソフト ウェアで読み出すこ とができるため、 システムの性能解析および向上に利用できます。 また、 コアはグローバル ク ロ ッ ク カウンターも備えているため、 ソフ ト ウェア アプリ ケーシ ョ ンのリ アルタイム プロファイ リ ングが可能です。

• [Profile] : Profile モードでは、 APM のイベン ト カウン ト機能が有効になり ますが、 AXI4/AXI3/AXI4-Lite インターフェイス上のシステム動作解析に対するユーザー設定は制限されます。 各スロ ッ トでは固定メ ト リ ッ クに対してイベン ト カウン ト機能が有効になり ます。 各スロ ッ トのカウンター数や各カウンターの指標はあらかじめ定義されています。 このモードでは、 AXI4-Stream インターフェイスおよび外部イベン ト メ ト リ ッ クをサポート していません。

• [Trace] : Trace モードでは、 APM のイベン ト ログ機能が有効になり ますが、 Advanced モード よ り もユーザー設定が制限されます。 コアの生成時に Vivado® 統合設計環境 (IDE) のオプシ ョ ンに基づいて有効/無効に設定されたすべてのフラグをコア生成後に変更するこ とはできません。 このモードでは、 AXI4-Stream インターフェイスをサポート していません。

AXI Performance Monitor v5.0 6PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

Advanced モード

図 1-1 に、 Advanced モードの AXI Performance Monitor コアの最上位ブロ ッ ク図を示します。

イベン ト ログ機能

イベン ト ログ機能は、 指定した AXI イベン ト、 外部イベン ト、 およびス ト リーミ ング FIFO に入力される 2 つの有効なイベン ト間のタイムスタンプ差をキャプチャします。 キャプチャされるイベン トは、 コンフ ィギュレーシ ョ ン レジスタで指定し、 フラグを設定するこ とでキャプチャが実行されます。 フラグの設定はフラグ イネーブル レジスタで設定します。

AXI4/AXI3/AXI4-Lite インターフェイスのイベン ト ログ機能には、 次のフラグを選択できます。

• Last Read Flag

• First Read Flag

• Read Address Latch Flag

• Response Flag

• Last Write Flag

• First Write Flag

X-Ref Target - Figure 1-1

図 1-1 : AXI Performance Monitor コアのブロック図

AXI Performance Monitor v5.0 7PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

• Write Address Latch Flag

AXI4-Stream インターフェイスのイベン ト ログ機能には、 次のフラグを選択できます。

• Last Data Flag

• First Data Flag

これらのフラグのほかに、 イベン ト ログ機能はト ランザクシ ョ ンを解析するために AXI データの追跡が可能です。AXI4/AXI3/AXI4-Lite のログ データには、 次が含まれます。

• AWID

• BID

• ARID

• RID

• AWLEN

• ARLEN

注記 : AXI4-Lite インターフェイスの場合、 ID 幅は 0、 AWLEN/ARLEN は 0 に設定されます。

AXI4-Stream のログ データには、 次が含まれます。

• TID

• TDEST

• TUSER

表 1-1 および表 1-2 にス ト リーミ ング FIFO 用のパケッ ト フォーマッ ト を示します。表 1-2 は、モニター スロ ッ トが 2 つのみの場合のパケッ ト フォーマッ ト を示します。 スロ ッ ト数の増加に伴い、 パケッ ト幅も増加します。 AXI4 のログ データ幅は、 コアをコンフ ィギュレーシ ョ ンする際に指定したログ データ用の ID やト ランザクシ ョ ンの長さなどの設定値に基づきます。 AXI4-Stream のログ データ幅は、 ログ データ内の TID、 TDEST、 および TUSER フ ィールドを有効にする際の設定値に基づきます。

APM のソフ ト ウェア書き込みデータ レジスタには、 ソフ ト ウェア タイムスタンプ データやハードウェア タイムスタンプの差分などのユーザー データを記録できます。 ソフ ト ウェア書き込みデータ レジスタ パケッ ト またはモニター ログ パケッ トのいずれかがイベン ト ログ ス ト リーミ ング インターフェイスを介して送信されます。 ソフ トウェア書き込みデータ レジスタへ書き込みを実行する場合にはソフ ト ウェア データ レジスタ パケッ トが送信され、それ以外の場合はモニター ログ パケッ トが送信されます。 両方のパケッ トに対して、 適切なフラグを設定する必要があ り ます。 ソフ ト ウェア書き込みデータ レジスタへの書き込みとモニター スロ ッ ト イベン トが同時に生じた場合は、 最初にソフ ト ウェア書き込みデータ レジスタ パケッ トが送信された後、 タイムスタンプの差分が 0 でモニター ログ パケッ トが送信されます。 パケッ ト タイプを識別するために、 両方のパケッ トにはログ ID が含まれています (ソフ ト ウェア書き込みデータ レジスタ パケッ トは 1、 モニター イベン ト パケッ トは 0)。

表 1-1 : SW データ レジスタ パケッ ト

名前 幅 有効な場合 説明

Log ID 1 Always パケッ トのタイプを示します。

1 = SW データ レジスタ

Time Stamp Difference 16 Always 前のイベン ト (FIFO への書き込み) と現在のイベン トのタイムスタンプの差分を示します。

AXI Performance Monitor v5.0 8PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

Loop Event 1 Always タイムスタンプの差分が 2^16 を超える場合には 1 とな り ます。

SW Data Register 32 Always このレジスタへ書き込みが実行されたと きのソフ ト ウェア同期データ レジスタ値が含まれます。

表 1-1 : SW データ レジスタ パケッ ト (続き)

名前 幅 有効な場合 説明

表 1-2 : モニター ログ パケッ ト

名前 幅 有効な場合 説明

Log ID 1 Always パケッ トのタイプを示します。

0 = モニター スロ ッ ト ログ

Time Stamp Difference 16 Always 前のイベン ト (FIFO への書き込み) と現在のイベン トのタイムスタンプの差分を示します。

Loop Event 1 Always タイムスタンプの差分が 2^16 を超える場合には 1 とな り ます。

External Event 0 Flags 3 Always External Event 0 の開始、 終了、 およびイベン ト フラグがキャプチャされます。

Slot 0 Flags 7

Slot 0 AXI Protocol =

AXI4/AXI3/AXI4-Lite

Slot 0 のイベン ト フラグを示します。

(Last Read Flag、 First Read Flag、 Read Address Latch Flag、 Response Flag、 Last Write Flag、 First Write Flag、 Write Address Latch Flag)

Slot 0 Log Data (Enable ID x Slot 0 ID Width(1) x 4) + (Enable Length x 16)

AWID、 BID、 ARID、 RID、 AWLEN、ARLEN

Slot 0 Flags 2

Slot 0 AXI Protocol = AXI4-Stream

Slot 0 のイベン ト フラグを示します。

(Last Data Flag、 First Data Flag)

Slot 0 Log Data (Enable TID x Slot 0 TID Width) + (Enable TDEST x Slot 0 TDEST

Width) + (Enable TUSER x Slot 0 TUSER Width)

TID、 TDEST、 TUSER

External Event 1 Flags 3 No of Monitor Slots > 1

External Event 1 の開始、 終了、 およびイベン ト フラグがキャプチャされます。

Slot 1 Flags 7

(Slot 1 AXI Protocol =

AXI4/AXI3/AXI4-Lite) && (No of Monitor Slots > 1)

Slot 1 のイベン ト フラグを示します。

(Last Read Flag、 First Read Flag、 Read Address Latch Flag、 Response Flag、 Last Write Flag、 First Write Flag、 Write Address Latch Flag)

Slot 1 Log Data (Enable ID x Slot 1 ID Width x 4) + (Enable Length x 16)

AWID、 BID、 ARID、 RID、 AWLEN、ARLEN

AXI Performance Monitor v5.0 9PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

イベン ト ログ機能は、 制御レジスタを介して有効にできますが、 コアのクロス プローブ機能を使用して自動的に有効化するこ と も可能です。 クロス プローブ機能は、 次のいずれかの条件にあてはまる と きにイベン ト ログを自動的に開始します。

• グローバル ク ロ ッ ク カウンターがオーバーフローしたと き

• サンプル メ ト リ ッ ク カウンターがロード された値で失効したと き

• メ ト リ ッ ク カウンターの値がイベン ト ログのカッ トオフ レジスタに設定したカッ トオフ範囲内に入ったと き

ク ロス プローブ機能は、 フラグ イネーブル レジスタのビッ ト [31:20] で有効化されます。

イベン ト カウン ト機能

図 1-2 に、 イベン ト カウン ト機能のブロ ッ ク図を示します。

イベン ト カウン ト モジュールは、 複数 (最大 10 個まで指定可能) のアキュムレータおよびレンジ インク リ メンター ブロ ッ クで構成されています (例、 メ ト リ ッ ク カウンター )。 ID フ ィルタ リ ング/マスキングは、 コンフ ィギュレーシ ョ ン レジスタで設定された ID およびマスク ビッ トに基づいて、 すべてのイベン トに適用されます。 ID フ ィルタリ ングはオプシ ョ ン機能であ り、 制御レジスタのフ ィルタ リ ング イネーブル ビッ トに基づいて適用されます。 カウン ト されるすべてのイベン トが検出されて、 総計値を出力するアキュムレータへ送られます。 モニターするイベン

Slot 1 Flags 2 (Slot 1 AXI Protocol = AXI4-Stream) && (No of Monitor Slots > 1)

Slot 1 のイベン ト フラグを示します。

(Last Data Flag、 First Data Flag)

Slot 1 Log Data (Enable TID x Slot 1 TID Width) + (Enable TDEST x Slot 1 TDEST

Width) + (Enable TUSER x Slot 1 TUSER Width)

TID、 TDEST、 TUSER

注記 :1. IDE での設定が 1 または 0 の場合、 コアは ID 幅を 1 と見なします。

表 1-2 : モニター ログ パケッ ト (続き)

名前 幅 有効な場合 説明

X-Ref Target - Figure 1-2

図 1-2 : イベン ト カウン ト モジュール

AXI Performance Monitor v5.0 10PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

トは、 カウンターに関連付けられたメ ト リ ッ ク セレクター レジスタで選択されます。 イベン ト カウン ト機能を使用するこ とで、 AXI4/AXI3/AXI4-Stream/AXI4-Lite のモニター スロ ッ ト または外部イベン ト ポート上のイベン ト を測定できます。

メ ト リ ッ ク カウンターは、 次の 2 つの方法で有効化できます。

• 制御レジスタのビッ ト を有効化 : 各メ ト リ ッ ク カウンターは、 制御レジスタのメ ト リ ッ ク カウン ト イネーブル ビッ ト を使用して有効に設定します。

• 外部ト リガー信号 : 各モニター AXI/AXI4-Stream スロ ッ トには外部ト リガー ポートがあ り ます。 外部イベン トのカウン トに APM が使用されている場合は、 外部ト リガーを使用しません。 外部ト リガー信号に対して、 制御レジスタでイネーブル ビッ トが設定されている必要があ り ます。 外部ト リガーはカウンターの開始も制御できます。

図 1-3 に、 アキュムレータおよびレンジ インク リ メンター モジュールを示します。

レンジ インク リ メンターは、 イベン ト カウン ト をレンジ レジスタの Low 範囲および High 範囲と比較して、 その値が範囲内であれば 1 つインク リ メン ト します。 アキュムレータおよびレンジ インク リ メンターの値は、 AXI4-Lite インターフェイスを介して読み出し可能です。 レンジ インク リ メンターは、 読み出し /書き込みレイテンシの範囲を取得する場合に有効です。

次のサンプリ ング手法を使用して、 アキュムレータ値を取得し、 対応するサンプル メ ト リ ッ ク カウンターにそれらの値を記録します。

• 外部キャプチャ イベン ト : 外部キャプチャ イベン トが設定されている場合は、 メ ト リ ッ ク カウンター値が対応するサンプル メ ト リ ッ ク カウンターにロード されます。 キャプチャ イベン トのほかに、 メ ト リ ッ ク カウンターやサンプル メ ト リ ッ ク カウンターを リセッ トする リセッ ト イベン トがあ り ます。 この手法の場合、 レジスタの設定はあ り ません。

• サンプル インターバル タイマー : サンプル インターバル タイマーには、 タイマーをカウン ト ダウンするクロ ッ ク数を指定します。 タイマーが切れる と、 メ ト リ ッ ク カウンター値がサンプル メ ト リ ッ ク カウンターにロード されます。 ロード完了後、 メ ト リ ッ ク カウンターは自動的にリセッ ト されます。 取得後にメ ト リ ッ ク カウンターを自動的にリセッ トする機能を無効にする場合は、 サンプル インターバル制御レジスタの対応するビッ ト を設定します。

X-Ref Target - Figure 1-3

図 1-3 : アキュムレータおよびレンジ インクリ メンター モジュール

AXI Performance Monitor v5.0 11PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

• サンプル レジスタの読み出し : サンプル レジスタの読み出しが開始される と、 すべてのメ ト リ ッ ク カウンター値がサンプル メ ト リ ッ ク カウンターにロード されます。 サンプル レジスタの読み出し動作に対応するデータは、 フ リーランニングのカウン ト値です。 この手法は、 メモ リマップ方式の外部マスター デバイスがデータをサンプルする場合に有効です。 外部マスターは、 このレジスタで返されるカウンター値を使用して、 前のサンプルのタイムスタンプをは判定できます。 ロード完了後、 メ ト リ ッ ク カウンターは自動的にリセッ ト されます。取得後にメ ト リ ッ ク カウンターを自動的にリセッ トする機能を無効にする場合は、 サンプル インターバル制御レジスタの対応するビッ ト を設定します。

メ ト リ ッ ク カウンターは、 対応する開始信号と停止信号の間に生じた外部イベン トのカウン トにも使用できます。メ ト リ ッ クは選択したエージェン ト /スロ ッ トに対して取得されます。 この選択も、 メ ト リ ッ ク セレクター レジスタ で行われます。

AXI4/AXI3/AXI4-Lite エージェン トに対して算出される メ ト リ ッ クは次のとおりです。

• Write Transaction Count : エージェン トによる書き込み要求またはエージェン トへの書き込み要求の総数。

• Read Transaction Count : エージェン トによる読み出し要求またはエージェン トへの読み出し要求の総数。

• Read Latency : 読み出しアドレスの発行/承諾の開始から、 読み出しデータ ト ランザクシ ョ ンの開始/終了までの時間。 レイテンシの開始点および終了点の選択は、 ユーザーが指定できます。 デフォルトの読み出しレイテンシは、 マスター インターフェイスが読み出しアドレスを発行してから、 最後の読み出し動作までです。

• Write Latency : 書き込みアドレスの発行/承諾の開始からスレーブへの最初/最後の書き込みまでの時間。 レイテンシの開始点および終了点の選択は、 ユーザーが指定できます。 デフォルトの書き込みレイテンシは、 書き込みアドレスが発行されてから最後の書き込み動作までです。

• Write Byte Count : エージェン トによる書き込みバイ ト またはエージェン トへの書き込みバイ トの総数。 このメト リ ッ クは、 システムのスループッ ト を計算する場合に役立ちます。

• Read Byte Count : エージェン トからの読み出しバイ ト またはエージェン トへの読み出しバイ トの総数。

• Slave Write Idle Cycle Count : スレーブへの書き込みト ランザクシ ョ ン中にスレーブによって生じるアイ ドル サイクル数。 スレーブ書き込みアイ ドル サイクル数は、 WVALID のアサートから WREADY のアサート までの間のクロ ッ ク数です。

• Master Read Idle Cycle Count : スレーブへの読み出し ト ランザクシ ョ ン中にマスターによって生じるアイ ドル サイクル数。 マスター読み出しアイ ドル サイクル数は、 RVALID のアサートから RREADY のアサート までの間のクロ ッ ク数です。

AXI4-Stream エージェン トに対して算出される メ ト リ ッ クは次のとおりです。

• Transfer Cycle Count : 転送されたパケッ トの総数。

• Data Byte Count : 転送されたデータ バイ トの総数。 このメ ト リ ッ クは、 システムのスループッ ト を計算する場合に役立ちます。

• Position Byte Count : 転送された位置バイ トの総数。

• Null Byte Count : 転送された NULL バイ トの総数。

• Packet Count : 転送されたパケッ トの総数。

• Idle Count : AXI4-Stream インターフェイスによって生じるアイ ドル サイクル数。

書き込みデータ スループッ ト、 読み出しデータ スループッ ト、 およびインターコネク ト読み出しレイテンシなどのシステム レベルのメ ト リ ッ クは、 システム内のすべてのエージェン トのすべてのメ ト リ ッ クを取得するこ とで算出できます。

AXI Performance Monitor v5.0 12PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

Profile モード

図 1-4 に Profile モードのイベン ト カウン ト機能を示します。

Profile モードのイベン ト カウン ト機能は、 各 AXI4/AXI3/AXI4-Lite スロ ッ トに対して固定数のアキュムレータで構成されます。 カウン ト されるすべてのイベン トが検出されて、 総計値を出力するアキュムレータへ送られます。 イベン トの選択肢はあ り ません。 また、 このモードの場合、 イベン ト カウン トはAXI4/AXI3/AXI4-Lite モニター スロ ッ トでのみ行われます。

Profile モードでのメ ト リ ッ ク カウンターは、 次の 2 つの方法で有効化できます。

• 制御レジスタのビッ ト を有効化 : 各メ ト リ ッ ク カウンターは、 制御レジスタのメ ト リ ッ ク カウン ト イネーブル ビッ ト を使用して有効に設定します。

• 外部ト リガー信号 : 各モニター AXI スロ ッ トには外部ト リガー ポートがあ り ます。 外部ト リガー信号に対して、制御レジスタでイネーブル ビッ トが設定されている必要があ り ます。 外部ト リガーは、 カウンターの開始および停止を制御します。

次のサンプリ ング手法を使用して、 アキュムレータの値を取得し、 対応するサンプル メ ト リ ッ ク カウンターにそれらの値を記録します。 Profile モードの場合、 インク リ メンターおよびレンジ レジスタはあ り ません。

• 外部キャプチャ イベン ト : 外部キャプチャ イベン トが設定されている場合、 メ ト リ ッ ク カウンター値が対応するサンプル メ ト リ ッ ク カウンターにロード されます。 キャプチャ イベン トのほかに、 メ ト リ ッ ク カウンター

X-Ref Target - Figure 1-4

図 1-4 : Profile モードのイベン ト カウン ト機能

AXI4 Monitor Slots0-7

(after clock-crossing)

Metric Enable

Generator

Accumulators&

Sampledaccumulators

Registers

Timer

External Triggers0-7

(after clock-crossing)

X13618

AXI Performance Monitor v5.0 13PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

やサンプル メ ト リ ッ ク カウンターを リセッ トする リセッ ト イベン トがあ り ます。 この手法の場合、 レジスタの設定はあ り ません。

• サンプル インターバル タイマー : サンプル インターバル タイマーには、 タイマーをカウン ト ダウンするクロ ッ ク数を指定します。 タイマーが切れる と、 メ ト リ ッ ク カウンター値がサンプル メ ト リ ッ ク カウンターにロード されます。 ロード完了後、 メ ト リ ッ ク カウンターは自動的にリセッ ト されます。 取得後にメ ト リ ッ ク カウンターを自動的にリセッ トする機能を無効にする場合は、 サンプル インターバル制御レジスタの対応するビッ ト を設定します。

• サンプル レジスタの読み出し : サンプル レジスタの読み出しが開始される と、 すべてのメ ト リ ッ ク カウンター値がサンプル メ ト リ ッ ク カウンターにロード されます。 サンプル レジスタの読み出しに対応するデータは、 最初のサンプル レジスタの読み出しで開始するフ リーランニングのカウン ト値です。 ロード完了後、 メ ト リ ッ ク カウンターは自動的にリセッ ト されます。 取得後にメ ト リ ッ ク カウンターを自動的にリセッ トする機能を無効にする場合は、 サンプル インターバル制御レジスタの対応するビッ ト を設定します。

Profile モードの場合、 AXI4/AXI3/AXI4-Lite エージェン トに対して算出される メ ト リ ッ クは次のとおりです。

• Write Transaction Count : エージェン トによる書き込み要求またはエージェン トへの書き込み要求の総数。

• Write Byte Count : エージェン トによる書き込みバイ ト またはエージェン トへの書き込みバイ トの総数。 このメト リ ッ クは、 システムのスループッ ト を計算する場合に役立ちます。

• Write Latency : 書き込みアドレスの発行/承諾の開始から最初/最後の書き込みまでの時間。 書き込みレイテンシの開始点および終了点の選択は、 制御レジスタで指定できます。 デフォルトの書き込みレイテンシは、 書き込みアドレスが発行されてから最後の書き込み動作までです。

• Read Transaction Count : エージェン トによる読み出し要求またはエージェン トへの読み出し要求の総数。

• Write Byte Count : エージェン トからの読み出しバイ ト またはエージェン トへの読み出しバイ トの総数。

• Read Latency : 読み出しアドレスの発行/承諾の開始から最後/最初の読み出しデータ ト ランザクシ ョ ンまでの時間。 読み出しレイテンシの開始点および終了点の選択は、 制御レジスタで指定できます。 デフォルトの読み出しレイテンシは、 読み出しアドレスが発行されてから最後の読み出し動作までです。

注記 : Profile モードの場合、 ID フ ィルタ リ ング機能はサポート されていません。

AXI Performance Monitor v5.0 14PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

Trace モード

Trace モードの場合、 APM のイベン ト ログ機能が有効にな り ますが、 動的な設定は制限されます。 指定した AXI イベン ト 、 外部イベン ト 、 およびス ト リーミ ング FIFO へ送信される 2 つのイベン トのタイムスタンプ差をキャプチャします。 キャプチャするイベン トの選択は、 パラ メーターで指定します。 Trace モードの場合、 ス ト リーミ ング エージェン トはサポート されていません。 AXI4/AXI3/AXI4-Lite エージェン トに対して選択できるフラグは次のとおりです。

• Last Read Flag

• First Read Flag

• Read Address Latch Flag

• Response Flag

• Last Write Flag

• First Write Flag

• Write Address Latch Flag

AXI4/AXI3/AXI4-Lite のフラグのほかに、 SW データ レジスタへの書き込みフラグや外部イベン ト フラグがあ り ます。 イベン ト ログ機能は、 ト ランザクシ ョ ンを解析するために AXI4 データを追跡するこ と も可能です。 AXI4/AXI3/AXI4-Lite のログ データには、 次が含まれます。

• AWID

• BID

• ARID

• RID

• AWLEN

• ARLEN

注記 : AXI4-Lite インターフェイスの場合、 ID 幅は 0、 AWLEN/ARLEN は 0 に設定されます。

表 1-3 に、 ス ト リーミ ング FIFO 用のパケッ ト フォーマッ ト を示します (モニター スロ ッ トが 2 つの場合)。 スロ ッ ト数の増加に伴い、 パケッ ト幅も増加します。 AXI4/AXI3/AXI4-Lite ログ データ幅は、 Vivado IDE でログ データ用の ID のイネーブルやト ランザクシ ョ ンの長さなどのコンフ ィギュレーシ ョ ンに基づきます。

APM のソフ ト ウェア書き込みデータ レジスタでは、 アプリ ケーシ ョ ンによるユーザー データ (ソフ ト ウェア タイムスタンプ データやハードウェア タイムスタンプの差分など) のログが可能です。 ソフ ト ウェア書き込みデータ レジスタ パケッ ト またはモニター ログ パケッ トのいずれかがイベン ト ログ ス ト リーミ ング インターフェイスを介して送信されます。 ソフ ト ウェア書き込みデータ レジスタへの書き込み要求が発行される と、 ソフ ト ウェア書き込みデータ レジスタ パケッ トが送信されます。 それ以外の場合はモニター ログ パケッ トが送信されます。 コアをコンフ ィギュレーシ ョ ンする際には、 両方のパケッ トに対して、 適切なフラグを設定する必要があ り ます。 ソフ ト ウェア書き込みデータ レジスタへの書き込みとモニター スロ ッ ト イベン トが同時に生じた場合は、 最初にソフ ト ウェア書き込みデータ レジスタ パケッ トが送信された後、タイムスタンプの差分が 0 でモニター ログ パケッ トが送信されます。 パケッ ト タイプを識別するために、 両方のパケッ トにはログ ID が含まれています (ソフ ト ウェア データ レジスタ パケッ トは 1、 モニター イベン ト パケッ トは 0)。

AXI Performance Monitor v5.0 15PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

イベン ト ログ機能は、 制御レジスタを使用して有効化できます。 各スロ ッ トのログを開始および停止するために、オプシ ョ ンの外部ト リガーがあ り ます。 Trace モードは、 ク ロス プローブ機能をサポート していません。

ヒン ト : シングル AXI ト ランザクシ ョ ンは、 232 ク ロ ッ ク以内に完了します。

表 1-3 : Trace モードのモニター ログ パケッ ト

名前 幅 有効な場合 説明

Log ID 1 Always パケッ ト タイプ :

0 = モニター スロ ッ ト ログ

Time Stamp Difference

16 Always 前のイベン ト (FIFO への書き込み) と現在のイベン トのタイムスタンプの差分を示します。

Loop Event 1 Always タイムスタンプの差分が 216 を超える場合には 1 とな り ます。

External Event 0 Flags

3 Always External Event 0 の開始、 終了、 およびイベン ト フラグがキャプチャされます。

Slot 0 Flags 7 Always Slot 0 のイベン ト フラグを示します。

(Last Read Flag、 First Read Flag、 Read Address Latch Flag、 Response Flag、First Write Flag、 Write Address Latch Flag)

Slot 0 Log Data (Enable ID x Slot 0 ID Width x 4) + (Enable Length x 16)

Always AWID、 BID、 ARID、 RID、 AWLEN、ARLEN

External Event 1 Flags

3 Number of Monitor Slots > 1

External Event 1 の開始、 終了、 およびイベン ト フラグがキャプチャされます。

Slot 1 Flags 7 Number of Monitor Slots > 1

Slot 1 のイベン ト フラグを示します。

(Last Read Flag、 First Read Flag、 Read Address Latch Flag、 Response Flag、First Write Flag、 Write Address Latch Flag)

Slot 1 Log Data (Enable ID x Slot 1 ID Width x 4) + (Enable Length x 16)

Number of Monitor Slots > 1

AWID、 BID、 ARID、 RID、 AWLEN、ARLEN

AXI Performance Monitor v5.0 16PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

ト リガー機能

この機能を使用して、 AXI ト ランザクシ ョ ンをデバッグできます。 ト リ ガー パラ メーター (C_EN_TRIGGER) を有効に設定した場合、 モニター ログ パケッ トがス ト リーミ ング インターフェイスを使用して表 1-4 のフォーマッ トで送信されます。 この機能は、 システム動作が停止した場合のト ランザクシ ョ ン デバッグに有効です。

表 1-4 : address_flags、 trigger logic パラメーターが有効の場合での Trace モードのモニター ログ パケッ ト

名前 幅 有効な場合 説明

Log ID 1 Alwaysパケッ ト タイプ :

0 = モニター スロ ッ ト ログ

Time Stamp Difference

16 Always

前のイベン ト (FIFO への書き込み) と現在のイベン トのタイムスタンプの差分を示します。

Loop Event 1 Alwaysタイムスタンプの差分が 216 を超える場合には 1 とな ります。

Trigger Assertion 1 Always 1 = ト リ ガー エッジ アサーシ ョ ン パケッ ト

Slot 0 log Data(Address width x 2) + (Enable

Length x 16) + 8Always

WADDR、 AWLEN、 WSP、WEP、 WSPM、 WEPM、RADDR、 ARLEN、 RSP、REP、 RSPM、 REPM

Slot 1 log Data(Address width x 2) + (Enable

Length x 16) + 8Number of Monitor Slots > 1

WADDR、 AWLEN、 WSP、WEP、 WSPM、 WEPM、RADDR、 ARLEN、 RSP、REP、 RSPM、 REPM

Slot 2 log Data(Address width x 2) + (Enable

Length x 16) + 8Number of Monitor Slots > 2

WADDR、 AWLEN、 WSP、WEP、 WSPM、 WEPM、RADDR、 ARLEN、 RSP、REP、 RSPM、 REPM

Slot 3 log Data(Address width x 2) + (Enable

Length x 16) + 8Number of Monitor Slots > 3

WADDR、 AWLEN、 WSP、WEP、 WSPM、 WEPM、RADDR、 ARLEN、 RSP、REP、 RSPM、 REPM

注記 :1. 表中で使用されている略語 :

WSP – Write Start Point、 WSPM – Write Start Point Marker、 WEP – Write Endpoint、 WEPM – Write Endpoint Marker、

WADDR –Write Address、 RADDR – Read Address、

RSP – Read Start Point、 RSPM – Read Start Point Marker、 REP – Read End Point、 REPM – Read End Point Marker

2. ト リ ガーは常に外部から駆動される可能性があるため、 非同期モードの場合 (コア ク ロ ッ ク と スロ ッ ト ク ロ ッ クが異なる場合

など) には Start Point マーカーと End Point マーカーのアサート位置が保証されません。

AXI Performance Monitor v5.0 17PG037 2015 年 11 月 18 日 japan.xilinx.com

第 1 章 : 概要

アプリケーシ ョ ン

AXI Performance Monitor コアのアプリ ケーシ ョ ンは次のとおりです。

• メモ リ コン ト ローラーなどの AXI ベースのスレーブで生じるレイテンシを測定して、 コアを調整する。

• ベンチマーキングを容易にするこ とで、 さまざまなアプリ ケーシ ョ ンを比較する。

• システムをデバッグする (例 : 要求に対して応答数をカウン トする )。

• レイテンシ範囲、 スループッ ト、 バース ト範囲など、 スレーブおよびシステム全体のチャート を取得する。

• 書き込みスループッ ト、 読み出しスループッ ト、 平均的なインターコネク ト読み出しレイテンシなど、 システムレベルのメ ト リ ッ クを取得する。 詳細は、 『ザイ リ ンクス ソフ ト ウェア開発キッ ト : システム性能』 [参照 11] を参照。

• アプリ ケーシ ョ ンのランタイムを取得し、 ソフ ト ウェアを最適化する。

• ト ランザクシ ョ ンに多くのアイ ドル サイクルをもたらしているエージェン ト を特定して、 ト ランザクシ ョ ンで生じるレイテンシを解析する。

• 2 つの類似する AXI エージェン ト を比較する。

• FIFO オーバーフロー /アンダーフロー、 割り込みなどの任意の外部イベン ト (AXI イベン ト以外) をカウン トする。

• モニター スロ ッ ト上の重要なイベン ト または関心のあるイベン ト を記録し、 動作/性能を再現して解析する。

サポート されていない機能

AXI Performance Monitor コアには、 次の制限事項があ り ます。

• バスの競合メ ト リ ッ クは算出されません。

• ロジッ クが制限されているため、 Advanced モードで動作するコアは、 アプリ ケーシ ョ ンのシングル ランで、 システム内のすべてのエージェン トに対する メ ト リ ッ クをすべて提供するこ とはできません。

• サポート される未処理ト ランザクシ ョ ンの深さは最大 32 です。 書き込み/読み出しレイテンシのメ ト リ ッ クは、未処理ト ランザクシ ョ ンの影響を受けます。

• インターリーブされた ト ランザクシ ョ ンはサポート されていません。

• 開始イベン ト と停止イベン トの間隔が 232 ク ロ ッ クを超える ト ランザクシ ョ ンのレイテンシは測定しません。

ライセンス

このザイ リ ンクス LogiCORE™ IP は、 ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado® Design Suite を使用して追加コス ト なしで提供されています。

この IP およびその他のザイ リ ンクス LogiCORE™ IP モジュールに関する情報は、 IP コアのページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、 ザイ リ ンクスの販売代理店にお問い合わせください。

AXI Performance Monitor v5.0 japan.xilinx.com 18PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

第 2 章

製品仕様この章では、 リ ソースの使用状況、 信号の説明、 およびレジスタの詳細を示します。

性能このコアの性能評価は、 マージン システム手法を使用して実施しました。 このマージン システム特性評価手法の詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] を参照してください。

最大周波数

表 2-1 に、 このコアの最大周波数を示します。

注記 : UltraScale™ アーキテクチャおよび Zynq®-7000 デバイスの最大周波数値は、 7 シ リーズ デバイスの値と類似する と考えられています。

レイテンシ

レイテンシは、 レジスタを使用して有効なメ ト リ ッ ク カウンター データを提供する際に、 パフォーマンス モニターが必要とするワース ト タイムです。

モニター ク ロ ッ ク と コア ク ロ ッ クが同じ場合、 レイテンシは 6 ク ロ ッ ク とな り ます。 モニター ク ロ ッ ク と コア クロ ッ クが非同期の場合には、 標準レイテンシに非同期 FIFO レイテンシが追加されるため、 実際のレイテンシは 4 モニター ク ロ ッ ク と 15 コア ク ロ ッ ク とな り ます。

表 2-1 : 最大周波数

ファ ミ リ スピード グレードFMAX (MHz)

AXI4 AXI4-Stream AXI4-Lite

Virtex-7

-1

200 200 180

Kintex-7 200 200 180

Artix-7 150 150 120

Virtex-7

-2

240 240 200

Kintex-7 240 240 200

Artix-7 180 180 140

Virtex-7

-3

280 280 220

Kintex-7 280 280 220

Artix-7 200 200 160

AXI Performance Monitor v5.0 japan.xilinx.com 19PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

リ ソース使用状況リ ソース使用状況の詳細は、 「Performance and Resource Utilization」 を参照してください。

ポートの説明表 2-2 に、 AXI Performance Monitor の信号を示します。 I/O 信号には、 IP の設定やレジスタの読み出しで使用されるAXI インターフェイス信号と、モニターする必要のあるエージェン ト (マスター /スレーブ) へ接続されるモニター スロ ッ ト I/O 信号があ り ます。

表 2-2 : AXI Performance Monitor の I/O 信号

信号名 インターフェイス I/O 初期ステート

説明

システム インターフェイス

core_aclk システム I - コア ク ロ ッ ク。 AXI Performance Monitor コアのすべてのクロ ッ クの中で最も速いクロ ッ ク。

core_aresetn システム I - コア リセッ ト 。 アクティブ Low で動作。

capture_event システム I - イベン ト キャプチャ。

reset_event システム I - イベン ト リセッ ト 。

interrupt システム O 0x0 システム割り込み出力。

trigger_in システム I ト リ ガー入力ポート。

trigger_in_ack システム O 0x0 ト リ ガー ACK ポート。 コアがこのビッ ト をアサートする と、AXI Performance Monitor コアが ト リガー入力を受信し、対応するロジッ クが有効であるこ とを示す。

AXI4-Lite スレーブ インターフェイス

s_axi_aclk システム I AXI ク ロ ッ ク。

s_axi_aresetn システム I AXI リセッ ト 。 アクティブ Low で動作。

s_axi_* S_AXI - - AXI4-Lite 信号の詳細は、『ザイ リ ンクス Vivado AXIリ ファレンス ガイ ド』 (UG1037) の付録 A を参照。

モニター スロッ ト n (0 ~ 7) - AXI4(1)

slot_n_axi_aclk システム I - AXI ク ロ ッ ク。

slot_n_axi_aresetn システム I - AXI リセッ ト 。 アクティブ Low で動作。

AXI Performance Monitor v5.0 japan.xilinx.com 20PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

slot_n_axi_* SLOT_n_AXI/SLOT_n_AXI4LITE

I - AXI4 信号の詳細は、 『AXI リ フ ァ レンス ガイ ド』(UG761) の付録 A を参照。

モニター スロッ ト n (0 ~ 7) - AXI4-Stream(1)

slot_n_axis_aclk システム I - AXI ク ロ ッ ク。

slot_n_axis_aresetn システム I - AXI リセッ ト 。 アクティブ Low で動作。

slot_n_axis_tvalid SLOT_n_AXIS I - マスターが有効な転送動作を実行している こ と を示す。

slot_n_axis_tready SLOT_n_AXIS I - 現在のサイ クルでスレーブが転送可能である こ とを示す。

slot_n_axis_tdata SLOT_n_AXIS I - ス ト リーミ ング データ ペイロード。

slot_n_axis_tstrb SLOT_n_AXIS I - バイ ト修飾子 (データ バイ ト または位置バイ ト )。

slot_n_axis_tkeep SLOT_n_AXIS I - バイ ト修飾子 (データ バイ ト または NULL バイ ト )。

slot_n_axis_tlast SLOT_n_AXIS I - パケッ トの最終データ ビート を示す。

slot_n_axis_tid SLOT_n_AXIS I - データ ス ト リーム識別子。

slot_n_axis_tdest SLOT_n_AXIS I - データ ス ト リーム用のルーティング情報を示す。

slot_n_axis_tuser SLOT_n_AXIS I - ユーザー定義の側帯波信号を示す。

外部ト リガー インターフェイス (0 ~ 7)(1)

slot_n_ext_trig システム I - 外部ト リガーがスロ ッ ト n のパルスを開始する。

slot_n_ext_trig_stop システム I - 外部ト リガーがスロ ッ ト n のパルスを停止する。

外部イベン ト インターフェイス (0 ~ 7)(1)

ext_clk_n システム I - 外部イベン ト (n) のインターフェイス ク ロ ッ ク。

ext_rstn_n システム I - 外部イベン ト (n) のインターフェイス リセッ ト 。アクティブ Low で動作します。

ext_event_n_cnt_start システム I - 外部イベン ト (n) のカウン ト開始信号。

ext_event_n_cnt_stop システム I - 外部イベン ト (n) のカウン ト停止信号。

ext_event_n システム I - 外部イベン ト。

イベン ト ログ スト リーミング インターフェイス

m_axis_aclk システム I - AXI ス ト リーミ ング ク ロ ッ ク。

表 2-2 : AXI Performance Monitor の I/O 信号 (続き)

信号名 インターフェイス I/O 初期ステート

説明

AXI Performance Monitor v5.0 japan.xilinx.com 21PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

レジスタ空間このセクシ ョ ンでは、 AXI Performance Monitor コアのレジスタおよびリセッ ト値について詳し く説明します。 表 2-3には、 Advanced モードの場合の AXI Performance Monitor のすべてのレジスタ とアド レスを示します。 表 2-4 には、Profile モード と Trace モードの場合のレジスタ とアドレスを示します。

m_axis_aresetn システム I - AXI ス ト リーミ ング リセッ ト 。 アクティブ Low で動作。

m_axis_tdata M_AXIS O 0x0 ス ト リーミ ング データ。

m_axis_tstrb M_AXIS O 0xF ス ト リーミ ング データ用のバイ ト修飾子。

m_axis_tvalid M_AXIS O 0x0 マスターが有効な転送動作を実行している こ と を示す。

m_axis_tid M_AXIS O 0x0 データ ス ト リーム識別子。

m_axis_tready M_AXIS I - 現在のサイ クルでスレーブが転送を受信できる ことを示す。

1. AXI Performance Monitor には、 コンフ ィギュレーシ ョ ン可能なモニター スロ ッ トが 8 個あ り、 n は 0 ~ 7 までの範囲で設定で

きます。

表 2-3 : Advanced モードのコア レジスタ

アドレス オフセッ ト レジスタ名 説明

グローバル クロック カウンター レジスタ

0x0000 グローバル ク ロ ッ ク カウンター グローバル ク ロ ッ ク カウンター レジスタの上位 32 ビットのデータ

0x0004 グローバル ク ロ ッ ク カウンター グローバル ク ロ ッ ク カウンター レジスタの上位 32 ビットのデータ

サンプル インターバル レジスタ

0x0024 サンプル インターバル サンプル インターバル時間制御レジスタ

0x0028 サンプル インターバル制御レジスタ

サンプル インターバル制御レジスタ

0x002C サンプル レジスタ サンプル レジスタ : このレジスタを読み出すと、 サンプル メ ト リ ッ ク カウンターへのメ ト リ ッ ク カウンターデータのサンプリ ングが開始する。

割り込みレジスタ

0x0030 グローバル割り込みイネーブルレジスタ

グローバル割り込みイネーブル レジスタ

0x0034 グローバル割り込みイネーブルレジスタ

割り込みイネーブル レジスタ

0x0038 割り込みイネーブル レジスタ 割り込みステータス レジスタ

メ ト リ ッ ク セレクター レジスタ

表 2-2 : AXI Performance Monitor の I/O 信号 (続き)

信号名 インターフェイス I/O 初期ステート

説明

AXI Performance Monitor v5.0 japan.xilinx.com 22PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0044 メ ト リ ック セレクター レジスタ 0 メ ト リ ッ ク カウンター 0、 1、 2、 および 3 のメ ト リ ッ クセレクター

0x0048 メ ト リ ック セレクター レジスタ 1 メ ト リ ッ ク カウンター 4、 5、 6、 および 7 のメ ト リ ッ クセレクター

0x004C メ ト リ ック セレクター レジスタ 2 メ ト リ ッ ク カウンター 8 および 9 のメ ト リ ッ ク セレクター

メ ト リ ッ ク カウンター (アキュムレータ、 インクリ メンター、 およびレンジ レジスタ )

0x0100 メ ト リ ッ ク カウンター 0 メ ト リ ッ ク カウンター 0 レジスタ

0x0104 インク リ メンター 0 インク リ メンター 0 レジスタ

0x0108 レンジ レジスタ 0 インク リ メンター 0 の Low/High 範囲

0x010C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 0

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 0

0x0110 メ ト リ ッ ク カウンター 1 メ ト リ ッ ク カウンター 1 レジスタ

0x0114 インク リ メンター 1 インク リ メンター 1 レジスタ

0x0118 レンジ レジスタ 1 インク リ メンター 1 の Low/High 範囲

0x011C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 1

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 1

0x0120 メ ト リ ッ ク カウンター 2 メ ト リ ッ ク カウンター 2 レジスタ

0x0124 インク リ メンター 2 インク リ メンター 2 レジスタ

0x0128 レンジ レジスタ 2 インク リ メンター 2 の Low/High 範囲

0x012C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 2

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 2

0x0130 メ ト リ ッ ク カウンター 3 メ ト リ ッ ク カウンター 3 レジスタ

0x0134 インク リ メンター 3 インク リ メンター 3 レジスタ

0x0138 レンジ レジスタ 3 インク リ メンター 3 の Low/High 範囲

0x013C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 3

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 3

0x0140 メ ト リ ッ ク カウンター 4 メ ト リ ッ ク カウンター 4 レジスタ

0x0144 インク リ メンター 4 インク リ メンター 4 レジスタ

0x0148 レンジ レジスタ 4 インク リ メンター 4 の Low/High 範囲

0x014C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 4

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 4

0x0150 メ ト リ ッ ク カウンター 5 メ ト リ ッ ク カウンター 5 レジスタ

0x0154 インク リ メンター 5 インク リ メンター 5 レジスタ

0x0158 レンジ レジスタ 5 インク リ メンター 5 の Low/High 範囲

0x015C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 5

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 5

0x0160 メ ト リ ッ ク カウンター 6 メ ト リ ッ ク カウンター 6 レジスタ

0x0164 インク リ メンター 6 インク リ メンター 6 レジスタ

表 2-3 : Advanced モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 23PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0168 レンジ レジスタ 6 インク リ メンター 6 の Low/High 範囲

0x016C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 6

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 6

0x0170 メ ト リ ッ ク カウンター 7 メ ト リ ッ ク カウンター 7 レジスタ

0x0174 インク リ メンター 7 インク リ メンター 7 レジスタ

0x0178 レンジ レジスタ 7 インク リ メンター 7 の Low/High 範囲

0x017C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 7

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 7

0x0180 メ ト リ ッ ク カウンター 8 メ ト リ ッ ク カウンター 8 レジスタ

0x0184 インク リ メンター 8 インク リ メンター 8 レジスタ

0x0188 レンジ レジスタ 8 インク リ メンター 8 の Low/High 範囲

0x018C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 8

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 8

0x0190 メ ト リ ッ ク カウンター 9 メ ト リ ッ ク カウンター 9 レジスタ

0x0194 インク リ メンター 9 インク リ メンター 9 レジスタ

0x0198 レンジ レジスタ 9 インク リ メンター 9 の Low/High 範囲

0x019C メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 9

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 9

サンプル メ ト リ ッ ク カウンター レジスタ

0x0200 サンプル メ ト リ ック カウンター 0 サンプル メ ト リ ッ ク カウンター 0 レジスタ

0x0204 サンプル インク リ メンター 0 サンプル インク リ メンター 0

0x0210 サンプル メ ト リ ック カウンター 1 サンプル メ ト リ ッ ク カウンター 1 レジスタ

0x0214 サンプル インク リ メンター 1 サンプル インク リ メンター 1 レジスタ

0x0220 サンプル メ ト リ ック カウンター 2 サンプル メ ト リ ッ ク カウンター 2 レジスタ

0x0224 サンプル インク リ メンター 2 サンプル インク リ メンター 2 レジスタ

0x0230 サンプル メ ト リ ック カウンター 3 サンプル メ ト リ ッ ク カウンター 3 レジスタ

0x0234 サンプル インク リ メンター 3 サンプル インク リ メンター 3 レジスタ

0x0240 サンプル メ ト リ ック カウンター 4 サンプル メ ト リ ッ ク カウンター 4 レジスタ

0x0244 サンプル インク リ メンター 4 サンプル インク リ メンター 4 レジスタ

0x0250 サンプル メ ト リ ック カウンター 5 サンプル メ ト リ ッ ク カウンター 5 レジスタ

0x0254 サンプル インク リ メンター 5 サンプル インク リ メンター 5 レジスタ

0x0260 サンプル メ ト リ ック カウンター 6 サンプル メ ト リ ッ ク カウンター 6 レジスタ

0x0264 サンプル インク リ メンター 6 サンプル インク リ メンター 6 レジスタ

0x0270 サンプル メ ト リ ック カウンター 7 サンプル メ ト リ ッ ク カウンター 7 レジスタ

0x0274 サンプル インク リ メンター 7 サンプル インク リ メンター 7 レジスタ

0x0280 サンプル メ ト リ ック カウンター 8 サンプル メ ト リ ッ ク カウンター 8 レジスタ

0x0284 サンプル インク リ メンター 8 サンプル インク リ メンター 8 レジスタ

表 2-3 : Advanced モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 24PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0290 サンプル メ ト リ ック カウンター 9 サンプル メ ト リ ッ ク カウンター 9 レジスタ

0x0294 サンプル インク リ メンター 9 サンプル インク リ メンター 9 レジスタ

制御レジスタ

0x0300 制御レジスタ 制御レジスタ

0x0304 ID レジスタ ID レジスタ

0x0308 ID マスク レジスタ マスク レジスタ

イベン ト ログ レジスタ

0x0400 フラグ イネーブル レジスタ フラグ イネーブル レジスタ

0x0404 ソフ ト ウェア書き込みデータ レジスタ

ソフ ト ウェア書き込みデータ レジスタ

表 2-4 : Profile モード と Trace モードのコア レジスタ

アドレス オフセッ ト レジスタ名 説明

サンプル インターバル レジスタ

0x0024 サンプル インターバル サンプル インターバル時間制御レジスタ

0x0028 サンプル インターバル制御

レジスタ

サンプル インターバル制御レジスタ

0x002C サンプル レジスタ サンプル レジスタ

割り込みレジスタ

0x0030 グローバル割り込みイネーブル レジスタ

グローバル割り込みイネーブル レジスタ

0x0034 割り込みイネーブル レジスタ 割り込みイネーブル レジスタ

0x0038 割り込みステータス レジスタ 割り込みステータス レジスタ

制御レジスタ

0x0300 制御レジスタ 制御レジスタ

メ ト リ ッ ク カウンター (アキュムレータ、 サンプル アキュムレータ ) : Profile モードでのみ有効

0x0100 メ ト リ ッ ク カウンター 0(1) スロ ッ ト 0 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0110 メ ト リ ッ ク カウンター 1(1) スロ ッ ト 0 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0120 メ ト リ ッ ク カウンター 2(1) スロ ッ ト 0 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0130 メ ト リ ッ ク カウンター 3(1) スロ ッ ト 0 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

表 2-3 : Advanced モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 25PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0140 メ ト リ ッ ク カウンター 4(1) スロ ッ ト 0 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0150 メ ト リ ッ ク カウンター 5(1) スロ ッ ト 0 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0160 メ ト リ ッ ク カウンター 6(1) スロ ッ ト 1 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0170 メ ト リ ッ ク カウンター 7(1) スロ ッ ト 1 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0180 メ ト リ ッ ク カウンター 8(1) スロ ッ ト 1 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0190 メ ト リ ッ ク カウンター 9(1) スロ ッ ト 1 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x01A0 メ ト リ ッ ク カウンター 10(1) スロ ッ ト 1 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x01B0 メ ト リ ッ ク カウンター 11(1) スロ ッ ト 1 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0500 メ ト リ ッ ク カウンター 12(1) スロ ッ ト 2 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0510 メ ト リ ッ ク カウンター 13(1) スロ ッ ト 2 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0520 メ ト リ ッ ク カウンター 14(1) スロ ッ ト 2 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0530 メ ト リ ッ ク カウンター 15(1) スロ ッ ト 2 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x0540 メ ト リ ッ ク カウンター 16(1) スロ ッ ト 2 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0550 メ ト リ ッ ク カウンター 17(1) スロ ッ ト 2 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0560 メ ト リ ッ ク カウンター 18(1) スロ ッ ト 3 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0570 メ ト リ ッ ク カウンター 19(1) スロ ッ ト 3 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0580 メ ト リ ッ ク カウンター 20(1) スロ ッ ト 3 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0590 メ ト リ ッ ク カウンター 21(1) スロ ッ ト 3 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x05A0 メ ト リ ッ ク カウンター 22(1) スロ ッ ト 3 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x05B0 メ ト リ ッ ク カウンター 23(1) スロ ッ ト 3 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0700 メ ト リ ッ ク カウンター 24(1) スロ ッ ト 4 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0710 メ ト リ ッ ク カウンター 25(1) スロ ッ ト 4 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 26PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0720 メ ト リ ッ ク カウンター 26(1) スロ ッ ト 4 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0730 メ ト リ ッ ク カウンター 27(1) スロ ッ ト 4 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x0740 メ ト リ ッ ク カウンター 28(1) スロ ッ ト 4 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0750 メ ト リ ッ ク カウンター 29(1) スロ ッ ト 4 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0760 メ ト リ ッ ク カウンター 30(1) スロ ッ ト 5 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0770 メ ト リ ッ ク カウンター 31(1) スロ ッ ト 5 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0780 メ ト リ ッ ク カウンター 32(1) スロ ッ ト 5 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0790 メ ト リ ッ ク カウンター 33(1) スロ ッ ト 5 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x07A0 メ ト リ ッ ク カウンター 34(1) スロ ッ ト 5 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x07B0 メ ト リ ッ ク カウンター 35(1) スロ ッ ト 5 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0900 メ ト リ ッ ク カウンター 36(1) スロ ッ ト 6 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0910 メ ト リ ッ ク カウンター 37(1) スロ ッ ト 6 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0920 メ ト リ ッ ク カウンター 38(1) スロ ッ ト 6 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0930 メ ト リ ッ ク カウンター 39(1) スロ ッ ト 6 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x0940 メ ト リ ッ ク カウンター 40(1) スロ ッ ト 6 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0950 メ ト リ ッ ク カウンター 41(1) スロ ッ ト 6 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0960 メ ト リ ッ ク カウンター 42(1) スロ ッ ト 7 の書き込みバイ ト カウン ト用メ ト リ ッ クカウンター

0x0970 メ ト リ ッ ク カウンター 43(1) スロ ッ ト 7 の書き込みト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x0980 メ ト リ ッ ク カウンター 44(1) スロ ッ ト 7 の書き込みレイテンシ カウン ト用メ ト リ ッ ク カウンター

0x0990 メ ト リ ッ ク カウンター 45(1) スロ ッ ト 7 の読み出しバイ ト カウン ト用メ ト リ ッ クカウンター

0x09A0 メ ト リ ッ ク カウンター 46(1) スロ ッ ト 7 の読み出し ト ランザクシ ョ ン カウン ト用メ ト リ ッ ク カウンター

0x09B0 メ ト リ ッ ク カウンター 47(1) スロ ッ ト 7 の読み出しレイテンシ カウン ト用メ ト リ ッ ク カウンター

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 27PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0154 メ ト リ ッ ク カウンター 48(1) スロ ッ ト 0 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x0158 メ ト リ ッ ク カウンター 49(1) スロ ッ ト 0 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x01b4 メ ト リ ッ ク カウンター 50(1) スロ ッ ト 1 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x01b8 メ ト リ ッ ク カウンター 51(1) スロ ッ ト 1 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x0554 メ ト リ ッ ク カウンター 52(1) スロ ッ ト 2 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x0558 メ ト リ ッ ク カウンター 53(1) スロ ッ ト 2 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x05b4 メ ト リ ッ ク カウンター 54(1) スロ ッ ト 3 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x05b8 メ ト リ ッ ク カウンター 55(1) スロ ッ ト 3 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x0754 メ ト リ ッ ク カウンター 56(1) スロ ッ ト 4 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x0758 メ ト リ ッ ク カウンター 57(1) スロ ッ ト 4 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x07b4 メ ト リ ッ ク カウンター 58(1) スロ ッ ト 5 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x07b8 メ ト リ ッ ク カウンター 59(1) スロ ッ ト 5 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x0954 メ ト リ ッ ク カウンター 60(1) スロ ッ ト 6 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x0958 メ ト リ ッ ク カウンター 61(1) スロ ッ ト 6 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

0x09b4 メ ト リ ッ ク カウンター 62(1) スロ ッ ト 7 の最大/最小書き込みレイテンシ用メ ト リ ッ ク カウンター

0x09b8 メ ト リ ッ ク カウンター 63(1) スロ ッ ト 7 の最大/最小読み出しレイテンシ用メ ト リ ッ ク カウンター

サンプル メ ト リ ッ ク カウンター レジスタ : Profile モードでのみ有効

0x0200 サンプル メ ト リ ッ ク カウンター 0(1) スロ ッ ト 0 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0210 サンプル メ ト リ ッ ク カウンター 1(1) スロ ッ ト 0 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0220 サンプル メ ト リ ッ ク カウンター 2(1) スロ ッ ト 0 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0230 サンプル メ ト リ ッ ク カウンター 3(1) スロ ッ ト 0 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0240 サンプル メ ト リ ッ ク カウンター 4(1) スロ ッ ト 0 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 28PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0250 サンプル メ ト リ ッ ク カウンター 5(1) スロ ッ ト 0 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0260 サンプル メ ト リ ッ ク カウンター 6(1) スロ ッ ト 1 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0270 サンプル メ ト リ ッ ク カウンター 7(1) スロ ッ ト 1 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0280 サンプル メ ト リ ッ ク カウンター 8(1) スロ ッ ト 1 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0290 サンプル メ ト リ ッ ク カウンター 9(1) スロ ッ ト 1 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x02A0 サンプル メ ト リ ッ ク カウンター 10(1) スロ ッ ト 1 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x02B0 サンプル メ ト リ ッ ク カウンター 11(1) スロ ッ ト 1 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0600 サンプル メ ト リ ッ ク カウンター 12(1) スロ ッ ト 2 の書き込みバイ ト カウン ト用サンプルメ ト リ ッ ク カウンター

0x0610 サンプル メ ト リ ッ ク カウンター 13(1) スロ ッ ト 2 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0620 サンプル メ ト リ ッ ク カウンター 14(1) スロ ッ ト 2 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0630 サンプル メ ト リ ッ ク カウンター 15(1) スロ ッ ト 2 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x640 サンプル メ ト リ ッ ク カウンター 16(1) スロ ッ ト 2 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0650 サンプル メ ト リ ッ ク カウンター 17(1) スロ ッ ト 2 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0660 サンプル メ ト リ ッ ク カウンター 18(1) スロ ッ ト 3 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0670 サンプル メ ト リ ッ ク カウンター 19(1) スロ ッ ト 3 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0680 サンプル メ ト リ ッ ク カウンター 20(1) スロ ッ ト 3 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0690 サンプル メ ト リ ッ ク カウンター 21(1) スロ ッ ト 3 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x06A0 サンプル メ ト リ ッ ク カウンター 22(1) スロ ッ ト 3 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x06B0 サンプル メ ト リ ッ ク カウンター 23(1) スロ ッ ト 3 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0800 サンプル メ ト リ ッ ク カウンター 24(1) スロ ッ ト 4 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0810 サンプル メ ト リ ッ ク カウンター 25(1) スロ ッ ト 4 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0820 サンプル メ ト リ ッ ク カウンター 26(1) スロ ッ ト 4 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 29PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0830 サンプル メ ト リ ッ ク カウンター 27(1) スロ ッ ト 4 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0840 サンプル メ ト リ ッ ク カウンター 28(1) スロ ッ ト 4 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0850 サンプル メ ト リ ッ ク カウンター 29(1) スロ ッ ト 4 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0860 サンプル メ ト リ ッ ク カウンター 30(1) スロ ッ ト 5 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0870 サンプル メ ト リ ッ ク カウンター 31(1) スロ ッ ト 5 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0880 サンプル メ ト リ ッ ク カウンター 32(1) スロ ッ ト 5 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0890 サンプル メ ト リ ッ ク カウンター 33(1) スロ ッ ト 5 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x08A0 サンプル メ ト リ ッ ク カウンター 34(1) スロ ッ ト 5 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x08B0 サンプル メ ト リ ッ ク カウンター 35(1) スロ ッ ト 5 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A00 サンプル メ ト リ ッ ク カウンター 36(1) スロ ッ ト 6 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A10 サンプル メ ト リ ッ ク カウンター 37(1) スロ ッ ト 6 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A20 サンプル メ ト リ ッ ク カウンター 38(1) スロ ッ ト 6 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A30 サンプル メ ト リ ッ ク カウンター 39(1) スロ ッ ト 6 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A40 サンプル メ ト リ ッ ク カウンター 40(1) スロ ッ ト 6 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A50 サンプル メ ト リ ッ ク カウンター 41(1) スロ ッ ト 6 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A60 サンプル メ ト リ ッ ク カウンター 42(1) スロ ッ ト 7 の書き込みバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A70 サンプル メ ト リ ッ ク カウンター 43(1) スロ ッ ト 7 の書き込みト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A80 サンプル メ ト リ ッ ク カウンター 44(1) スロ ッ ト 7 の書き込みレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0A90 サンプル メ ト リ ッ ク カウンター 45(1) スロ ッ ト 7 の読み出しバイ ト カウン ト用サンプル メ ト リ ッ ク カウンター

0x0AA0 サンプル メ ト リ ッ ク カウンター 46(1) スロ ッ ト 7 の読み出し ト ランザクシ ョ ン カウン ト用サンプル メ ト リ ッ ク カウンター

0x0AB0 サンプル メ ト リ ッ ク カウンター 47(1) スロ ッ ト 7 の読み出しレイテンシ カウン ト用サンプル メ ト リ ッ ク カウンター

0x0254 サンプル メ ト リ ッ ク カウンター 48(1) スロ ッ ト 0 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 30PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

0x0258 サンプル メ ト リ ッ ク カウンター 49(1) スロ ッ ト 0 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x02b4 サンプル メ ト リ ッ ク カウンター 50(1) スロ ッ ト 1 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x02b8 サンプル メ ト リ ッ ク カウンター 51(1) スロ ッ ト 1 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0654 サンプル メ ト リ ッ ク カウンター 52(1) スロ ッ ト 2 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0658 サンプル メ ト リ ッ ク カウンター 53(1) スロ ッ ト 2 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x06b4 サンプル メ ト リ ッ ク カウンター 54(1) スロ ッ ト 3 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x06b8 サンプル メ ト リ ッ ク カウンター 55(1) スロ ッ ト 3 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0854 サンプル メ ト リ ッ ク カウンター 56(1) スロ ッ ト 4 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0858 サンプル メ ト リ ッ ク カウンター 57(1) スロ ッ ト 4 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x08b4 サンプル メ ト リ ッ ク カウンター 58(1) スロ ッ ト 5 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x08b8 サンプル メ ト リ ッ ク カウンター 59(1) スロ ッ ト 5 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0a54 サンプル メ ト リ ッ ク カウンター 60(1) スロ ッ ト 6 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0a58 サンプル メ ト リ ッ ク カウンター 61(1) スロ ッ ト 6 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0ab4 サンプル メ ト リ ッ ク カウンター 62(1) スロ ッ ト 7 の最大/最小書き込みレイテンシ用サンプル メ ト リ ッ ク カウンター

0x0ab8 サンプル メ ト リ ッ ク カウンター 63(1) スロ ッ ト 7 の最大/最小読み出しレイテンシ用サンプル メ ト リ ッ ク カウンター

イベン ト ログ レジスタ : Trace モードでのみ有効

0x0404 ソフ ト ウェア書き込みデータ レジスタ (2)

ソフ ト ウェア書き込みデータ レジスタ

注記 :1. Profile モードでのみ有効です。

2. Trace モードでのみ有効です。

表 2-4 : Profile モード と Trace モードのコア レジスタ (続き)

アドレス オフセッ ト レジスタ名 説明

AXI Performance Monitor v5.0 japan.xilinx.com 31PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

グローバル クロック カウンター レジスタ (GCCR)グローバル ク ロ ッ ク カウンターは、 32/64 の読み出しレジスタです。 このレジスタは、シ ミ ュレーシ ョ ン サイクルの合計数を保持します。 表 2-5 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

サンプル インターバル レジスタ (SIR)サンプル インターバル レジスタは、 32 ビッ トの読み出し /書き込みレジスタです。 このレジスタは、 サンプル メ トリ ッ ク カウンター レジスタにメ ト リ ッ ク カウンターをキャプチャするためのキャプチャ イベン ト を生成する際に使用されるサンプル インターバル ダウン カウンターのロード値を保持します。 表 2-6 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

サンプル インターバル制御レジスタ (SICR)サンプル インターバル制御レジスタは 32 ビッ ト レジスタです。このレジスタを使用して、モニター内のサンプル インターバル ダウン カウンターを制御します。 表 2-7 に、 このレジスタのビッ ト定義およびアクセシビ リ テ ィ を示します。

表 2-5 : グローバル クロック カウンター レジスタのビッ ト定義 (0x0004)

ビッ ト 名前 アクセス リセッ ト値 説明

63-32 Global Clock Count 読み出し 0x00 グローバル ク ロ ッ ク カウンター レジスタの上位 32 ビッ トのデータ

31-0 Global Clock Count 読み出し 0x00 グローバル ク ロ ッ ク カウンター レジスタの下位 32 ビッ トのデータ

注記 :

1. このレジスタは、 Advanced モードでのみ有効です。

2. S_AXI データ幅は 32 ビッ トであるため、2 つのロケーシ ョ ン (0x0000) と (0x0004) への読み出し ト ランザクシ ョ ンによってこの

レジスタを読み出すこ とができます。

3. グローバル ク ロ ッ ク カウンターの幅が 32 の場合は、 レジスタの下位 32 ビッ トのみ有効となり ます。

4. グローバル ク ロ ッ ク カウンターの幅が 64 の場合は、 レジスタの 64 ビッ トすべてが有効となり ます。

表 2-6 : サンプル インターバル レジスタのビッ ト定義 (0x0024)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Sample Interval 読み出し /書き込み 0x00 サンプル インターバル制御レジスタ

表 2-7 : サンプル インターバル制御レジスタのビッ ト定義 (0x0028)

ビッ ト 名前 アクセス リセッ ト値 説明

31-9 Reserved N/A N/A 予約

8 Metric_Counters_Reset 読み出し /書き込み

1

1 : サンプル インターバル タイマーが切れた場合やサンプル レジス タが読み出された場合に、 メ ト リ ッ クカウンターはリセッ ト される。

0 :サンプル イ ン ターバル カ ウ ンターが無効になった場合やサンプルレジスタが読み出された場合に、 メト リ ッ ク カウンターは リ セッ ト されない。

7-2 Reserved N/A N/A 予約

AXI Performance Monitor v5.0 japan.xilinx.com 32PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

サンプル レジスタ

サンプル レジスタの読み出しでメ ト リ ッ ク カウン ト をキャプチャし、サンプル メ ト リ ッ ク カウンターに値を格納します。 このレジスタの値は、 AXI4-Lite ク ロ ッ クを単位とするフ リーランニングのカウンター値です。 表 2-8 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

グローバル割り込みイネーブル レジスタ (GIER)グローバル割り込みイネーブル レジスタは、 プロセッサへの割り込み出力用のマスター イネーブル/ディ スエーブルを提供します。 このレジスタは、 単一の読み出し /書き込みに対応します。

1 Load 読み出し /書き込み

01 : サンプル インターバル レジスタの値をサンプル インターバル カウンターにロードする。

0 Enable 読み出し /書き込み

0

1 : ダウン カウンターを有効にする。有効になる前に、 カウンタはサンプル イ ン ターバル レジス タの値をロードする必要がある。

表 2-8 : サンプル レジスタのビッ ト定義 (0x002C)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Sample Register Read 読み出し 0 フ リーランニングのカウンター値。

表 2-9 : グローバル割り込みイネーブル レジスタのビッ ト定義 (0x0030)

ビッ ト 名前 アクセス リセッ ト値 説明

31-1 Reserved N/A N/A 予約

0 GIE 読み出し /書き込み

0

システム割り込みコン ト ローラーへのデバイス割り込み出力のマスター イネーブル。

1 : 有効

0 : 無効

表 2-7 : サンプル インターバル制御レジスタのビッ ト定義 (0x0028) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 33PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

割り込みイネーブル レジスタ (IER)このレジスタは読み出し /書き込みに対応します。 このレジスタのビッ トに 1 を書き込むと、 対応する ISR ビッ トが有効になり、 割り込み信号がアサート されます。 IER ビッ トが 0 に設定されている場合は、 キャプチャ動作に対して割り込みで阻止しないため、そのまま伝搬されます。 ビッ トに 0 を書き込むと (またはマスクする と ) 、対応する割り込み信号に対して割り込み出力を生成できなくな り ます。

表 2-10 : 割り込みイネーブル レジスタのビッ ト定義 (0x0034)

ビッ ト 名前 アクセス リセッ ト値 説明

31-13 Reserved N/A N/A 予約

12Metric Counter 9 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 9 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

11Metric Counter 8 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 8 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

10Metric Counter 7 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 7 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

9Metric Counter 6 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 6 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

8Metric Counter 5 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 5 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

7Metric Counter 4 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 4 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

6Metric Counter 3 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 3 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

5Metric Counter 2 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 2 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

AXI Performance Monitor v5.0 japan.xilinx.com 34PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

割り込みステータス レジスタ (ISR)このレジスタのコンテンツを読み出すこ とで、 アクティブな割り込み信号であるかど うかを判断できます。 このレジスタの各ビッ トが 1 に設定されている場合は、 アクティブな割り込み信号であるこ とを示します。 0 に設定されている場合は、 アクティブな割り込みでないこ とを示します。 ISR のビッ トは、 IER の割り込みイネーブル ビッ ト とは無関係です。 割り込みは、 割り込みステータス レジスタの対応するビッ トに 1 を書き込むこ とでク リ アできます。

4Metric Counter 1 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 1 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

3Metric Counter 0 Overflow InterruptEnable

読み出し /書き込み

0

メ ト リ ッ ク カウンター 0 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

2Event Log FIFO Overflow InterruptEnable

読み出し /書き込み

0

イベン ト ログ FIFO のオーバーフロー割り込み。 Advanced モード (イベン ト ログ機能が有効の場合) および Trace モードの場合に有効。

1Sample Interval Counter OverflowInterrupt Enable

読み出し /書き込み

0

サンプル イ ン ターバル カ ウ ン ターのオーバーフロー割り込み。 Advanced モードおよび Profile モードの場合に有効。

1 : 有効

0 : 無効

0Sample Interval Counter OverflowInterrupt Enable

読み出し /書き込み

0

グローバル ク ロ ッ ク カウンターのオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : 有効

0 : 無効

表 2-11 : 割り込みステータス レジスタのビッ ト定義 (0x0038)

ビッ ト 名前 アクセス リセッ ト値 説明

31-13 Reserved N/A N/A 予約

12 Metric Counter 9 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 9 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

11 Metric Counter 8 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 8 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

表 2-10 : 割り込みイネーブル レジスタのビッ ト定義 (0x0034) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 35PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

10 Metric Counter 7 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 7 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

9 Metric Counter 6 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 6 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

8 Metric Counter 5 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 5 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

7 Metric Counter 4 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 4 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

6 Metric Counter 3 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 3 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

5 Metric Counter 2 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 2 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

4 Metric Counter 1 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 1 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

3 Metric Counter 0 Overflow Interrupt 読み出し /書き込み

0

メ ト リ ッ ク カウンター 0 のオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

2 Event Log FIFO Overflow 読み出し /書き込み

0

イベン ト ログ FIFO のオーバーフロー割り込み。 Advanced モード (イベン ト ログ機能が有効の場合) および Trace モードの場合に有効。

1 : アクティブ

0 : 非アクティブ

表 2-11 : 割り込みステータス レジスタのビッ ト定義 (0x0038) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 36PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

メ ト リ ッ ク セレクター レジスタ 0 (MSR-0)メ ト リ ッ ク セレクター レジスタ 0 は 32 ビッ ト レジスタです。このレジスタを使用して、最初の 4 つのカウンターで算出される メ ト リ ッ クの種類を選択します。 表 2-12 に、 このレジスタのビッ ト定義およびアクセシビ リ ティ を示します。

注記 :

1. このレジスタは、 Advanced モードでイベン ト カウンターが有効に設定されている場合のみ有効です。

2. システム内に存在する メ ト リ ッ ク カウンターの数は、 [Number of Event Counters] パラ メーターの値に基づきます。

3. [Number of Event Counters] パラ メーターでカウンターが無効になっている場合、 これらのビッ トは予約ビッ ト とな り ます。

1Sample Interval Counter OverflowInterrupt

読み出し /書き込み

0

サンプル イ ン ターバル カ ウ ン ターのオーバーフロー割り込み。 Advanced モードおよび Profile モードの場合に有効。

1 : アクティブ

0 : 非アクティブ

0Global Clock Counter Overflow Interrupt

読み出し /書き込み

0

グローバル ク ロ ッ ク カウンターのオーバーフロー割り込み。 Advanced モードの場合のみ有効。

1 : アクティブ

0 : 非アクティブ

表 2-12 : メ ト リ ック セレクター レジスタ 0 のビッ ト定義 (0x0044)

ビッ ト 名前 アクセス リセッ ト値 説明

31-29 Metric Counter 3 Slot ID 読み出し /書き込み

X00 カウンター 3 で算出される メ ト リ ッ クのスロ ッ ト を選択。

28-24 Metric Selector for Counter 3 読み出し /書き込み

x00 カウンター 3 で算出される メ ト リ ッ クの種類を選択。

23-21 Metric Counter 2 Slot ID 読み出し /書き込み

X00 カウンター 2 で算出される メ ト リ ッ クのスロ ッ ト を選択。

20-16 Metric Selector for Counter 2 読み出し /書き込み

X00 カウンター 2 で算出される メ ト リ ッ クの種類を選択。

15-13 Metric Counter 1 Slot ID 読み出し /書き込み

X00 カウンター 1 で算出される メ ト リ ッ クのスロ ッ ト を選択。

12-8 Metric Selector for Counter 1 読み出し /書き込み

X00 カウンター 1 で算出される メ ト リ ッ クの種類を選択。

7-5 Metric Counter 0 Slot ID 読み出し /書き込み

X00 カウンター 0 で算出される メ ト リ ッ クのスロ ッ ト を選択。

4-0 Metric Selector for Counter 0 読み出し /書き込み

X00 カウンター 0 で算出される メ ト リ ッ クの種類を選択。

表 2-11 : 割り込みステータス レジスタのビッ ト定義 (0x0038) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 37PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

メ ト リ ッ ク セレクター レジスタ 1 (MSR-1)メ ト リ ッ ク セレクター レジスタ 1 は 32 ビッ ト レジスタです。このレジスタを使用して、カウンター 4 ~ 7 で算出される メ ト リ ッ クの種類を選択します。 表 2-13 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

注記 :

1. このレジスタは、 Advanced モードでイベン ト カウンターが有効に設定されている場合のみ有効です。

2. システム内に存在する メ ト リ ッ ク カウンターの数は、 [Number of Event Counters] パラ メーターの値に基づきます。

3. [Number of Event Counters] パラ メーターでカウンターが無効になっている場合、 これらのビッ トは予約ビッ ト とな り ます。

メ ト リ ッ ク セレクター レジスタ 2 (MSR-2)メ ト リ ッ ク セレクター レジスタ 2 は 32 ビッ ト レジスタです。このレジスタを使用して、カウンター 8 ~ 9 で算出される メ ト リ ッ クの種類を選択します。 表 2-14 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

注記 :

1. このレジスタは、 Advanced モードでイベン ト カウンターが有効に設定されている場合のみ有効です。

表 2-13 : メ ト リ ック セレクター レジスタ 1 のビッ ト定義 (0x0048)

ビッ ト 名前 アクセス リセッ ト値 説明

31-29 Metric Counter 7 Slot ID 読み出し /書き込み

X00 カウンター 7 で算出される メ ト リ ッ クのスロ ッ ト を選択。

28-24 Metric Selector for Counter 7 読み出し /書き込み

X00 カウンター 7 で算出される メ ト リ ッ クの種類を選択。

23-21 Metric Counter 6 Slot ID 読み出し /書き込み

X00 カウンター 6 で算出される メ ト リ ッ クのスロ ッ ト を選択。

20-16 Metric Selector for Counter 6 読み出し /書き込み

X00 カウンター 6 で算出される メ ト リ ッ クの種類を選択。

15-13 Metric Counter 5 Slot ID 読み出し /書き込み

X00 カウンター 5 で算出される メ ト リ ッ クのスロ ッ ト を選択。

12-8 Metric Selector for Counter 5 読み出し /書き込み

X00 カウンター 5 で算出される メ ト リ ッ クの種類を選択。

7-5 Metric Counter 4 Slot ID 読み出し /書き込み

X00 カウンター 4 で算出される メ ト リ ッ クのスロ ッ ト を選択。

4-0 Metric Selector for Counter 4 読み出し /書き込み

X00 カウンター 4 で算出される メ ト リ ッ クの種類を選択。

表 2-14 : メ ト リ ック セレクター レジスタ 2 のビッ ト定義 (0x004C)

ビッ ト 名前 アクセス リセッ ト値 説明

31-16 Reserved N/A N/A 予約

15-13 Metric Counter 9 Slot ID 読み出し /書き込み

X00 カウンター 9 で算出される メ ト リ ッ クのスロ ッ ト を選択。

12-8 Metric Selector for Counter 9 読み出し /書き込み

X00 カウンター 9 で算出される メ ト リ ッ クの種類を選択。

7-5 Metric Counter 8 Slot ID 読み出し /書き込み

X00 カウンター 8 で算出される メ ト リ ッ クのスロ ッ ト を選択。

4-0 Metric Selector for Counter 8 読み出し /書き込み

X00 カウンター 8 で算出される メ ト リ ッ クの種類を選択。

AXI Performance Monitor v5.0 japan.xilinx.com 38PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

2. システム内に存在する メ ト リ ッ ク カウンターの数は、 [Number of Event Counters] パラ メーターの値に基づきます。

3. [Number of Event Counters] パラ メーターでカウンターが無効になっている場合、 これらのビッ トは予約ビッ ト とな り ます。

表 2-15 および表 2-16 に、 メ ト リ ッ ク セレクターの値および算出される メ ト リ ッ クを示します。

表 2-15 : メ ト リ ックの説明

メ ト リ ック セレクターの値

メ ト リ ッ ク 説明

AXI4 エージェン トに対して算出されるメ ト リ ッ ク

0 Write Transaction Count 特定マスター /スレーブから、 または特定マスター /スレーブへの書き込みト ランザクシ ョ ン数。 インターフェイス上で書き込みアドレスが承諾されるたびにカウン ト値が増加する。

1 Read Transaction Count 特定マスター /スレーブから、 または特定マスター /スレーブへの読み出し ト ランザクシ ョ ン数。 インターフェイス上で読み出しアドレスが承諾されるたびにカウン ト値が増加する。

2 Write Byte Count 特定マスター /スレーブから、 または特定マスター /スレーブへの書き込みバイ ト数。

3 Read Byte Count 特定マスター /スレーブから、 または特定マスター /スレーブへの読み出しバイ ト数。

4 Write Beat Count 特定マスター /スレーブから、 または特定マスター /スレーブへの書き込みビート数。

5 Total Read Latency Num_Rd_Reqs (Read Transaction Count) と併用して、 平均の読み出しレイテンシを算出。このメ ト リ ッ クは、選択した ID ト ランザクシ ョ ンに対応する。

6 Total Write Latency Num_Wr_Reqs (Write Transaction Count) と併用して、平均の書き込みレイテンシを算出。このメ ト リ ッ クは、選択した ID ト ランザクシ ョ ンに対応します。

7 Slv_Wr_Idle_Cnt 書き込みト ランザクシ ョ ン中にスレーブによって生じるアイ ドルサイクル数。

8 Mst_Rd_Idle_Cnt 読み出し ト ランザクシ ョ ン中にマスターによって生じるアイ ドルサイクル数。

9 Num_BValids スレーブからマスターへ送信される Bvalid 数。与えられた要求数に対する応答を確認する場合に有効。

10 Num_WLasts マスターから送信される Wlast 数。 この値は、 マスターから送信される要求信号の数と正確に一致する必要がある。 システムのデバッグに有効。

11 Num_RLasts スレーブからマスターへ送信される RLast 数。 要求に対する応答を確認する場合に有効。 この値は、 マスターから送信される要求信号の数と正確に一致する必要がある。

12 Minimum Write Latency 最小の書き込みレイテンシ。 コアに与えられるデフォルトの最小書き込みレイテンシは 0xFFFFFFFF。

13 Maximum Write Latency 最大の書き込みレイテンシ。

14 Minimum Read Latency 最小の読み出しレイテンシ。 コアに与えられるデフォルトの最小読み出しレイテンシは 0xFFFFFFFF。

15 Maximum Read Latency 最大の読み出しレイテンシ。

AXI4-Stream エージェン トに対して算出されるメ ト リ ッ ク

16 Transfer Cycle Count データ転送されたサイクルの総数。

AXI Performance Monitor v5.0 japan.xilinx.com 39PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

17 Packet Count 転送されたパケッ トの総数。

18 Data Byte Count 転送されたデータ バイ トの総数。

19 Position Byte Count 転送された位置バイ トの総数。

20 Null Byte Count 転送された NULL バイ トの総数。

21 Slv_Idle_Cnt スレーブによって生じるアイ ドル サイクル数。

22 Mst_Idle_Cnt マスターによって生じるアイ ドル サイクル数。

外部イベン ト

30 External event count 外部イベン ト数。スロ ッ ト ID でカウン トする外部イベン トが指定される。

表 2-16 : メ ト リ ック スロッ トの説明

メ ト リ ッ ク スロッ トの ID 値 スロッ ト 説明

0 Slot 0 Slot0 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合に、 メト リ ッ ク カウンターに Ext Event0 の数がキャプチャされる。

1 Slot 1 Slot1 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event1 の数がキャプチャされる。

2 Slot 2 Slot1 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event2 の数がキャプチャされる。

3 Slot 3 Slot3 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event3 の数がキャプチャされる。

4 Slot 4 Slot4 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event4 の数がキャプチャされる。

5 Slot 5 Slot5 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event5 の数がキャプチャされる。

6 Slot 6 Slot6 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event6 の数がキャプチャされる。

7 Slot 7 Slot7 メ ト リ ッ ク。 メ ト リ ッ クが External Event Count の場合、 メ トリ ッ ク カウンターに Ext Event7 の数がキャプチャされる。

表 2-15 : メ ト リ ックの説明 (続き)

メ ト リ ック セレクターの値

メ ト リ ッ ク 説明

AXI Performance Monitor v5.0 japan.xilinx.com 40PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

メ ト リ ッ ク カウンター x レジスタ (MCR)メ ト リ ッ ク カウンター レジスタは 32 ビッ ト幅です。 これらには選択したイベン トの累積値が含まれます。 表 2-17に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

インクリ メンター x レジスタ (IR)インク リ メンター レジスタは 32 ビッ ト幅です。 選択したイベン トが、 インク リ メンターの指定範囲内に収まっている回数を示します。 表 2-18 に、 このレジスタのビッ ト定義およびアクセシビ リ テ ィ を示します。 これらのレジスタは、読み出しレイテンシおよび書き込みレイテンシを特定範囲内で分散させる場合に有効です。 その他のメ ト リ ッ クに関しては、 メ ト リ ッ ク カウンター値で十分足り るため、 このレジスタは不要です。

レンジ レジスタ x (RR)レンジ レジスタは 32 ビッ ト幅です。 選択したイベン トが、 このレジスタで指定した範囲内に入っている場合にインク リ メンター レジスタを 1 つインク リ メン ト します。表 2-19 に、このレジスタのビッ ト定義およびアクセシビ リティを示します。

表 2-17 : メ ト リ ッ ク カウンター レジスタのビッ ト定義 (0x0100)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Metric Counter(Accumulator) 読み出し 0 選択したイベン トの累積値。

注記 :

1. システム内に存在する メ ト リ ッ ク カウンターの数は、 Vivado IDE で設定した [Number of Event Counters] パラ メーターの値に基

づきます。

2. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

3. すべてのメ ト リ ッ ク カウンターのアドレスについては、 レジスタ空間表を参照して ください。

4. メ ト リ ッ ク カウンター 0 ~ 47 は、 Advancedモードおよび Profile モードの場合に有効です。

5. メ ト リ ッ ク カウンター 48 ~ 63 は、 Profile モードの場合のみ有効です。

6. メ ト リ ッ ク カウンター 48 ~ 63 には、 最小/最大レイテンシの値が含まれます。 これらの値は累算値ではあ り ません。

7. メ ト リ ッ ク カウンター 48 ~ 63 の上位 16 ビッ トに最大レイテンシの値が含まれ、 下位 16 ビッ トに最小レイテンシの値が含ま

れます。

表 2-18 : インクリ メンター レジスタのビッ ト定義 (0x0104)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Incrementer 読み出し 0 インク リ メンターの値。

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。

2. システム内に存在するインク リ メンター レジスタの数は、 Vivado IDE で設定した [Number of Event Counters] パラ メーター値に

基づきます。

3. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

4. すべてのインク リ メンター レジスタのアドレスについては、 レジスタ空間表を参照してください。

表 2-19 : レンジ レジスタのビッ ト定義 (0x0108)

ビッ ト 名前 アクセス リセッ ト値 説明

31-16 Range HIGH 読み出し /書き込み

0x00 範囲の上限値。

AXI Performance Monitor v5.0 japan.xilinx.com 41PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

メ ト リ ッ ク カウン ト ログ イネーブル レジスタ 0 x (MCLER)メ ト リ ッ ク カウン ト ログ イネーブル レジスタは 32 ビッ ト幅です。 これらのレジスタは、 メ ト リ ッ ク カウンターを比較して、 そのメ ト リ ッ ク カウンターが対応するレジスタ値よ り大きい、 または同等の場合にイベン ト ログ機能を有効にできます。 表 2-20 に、 このレジスタのビッ ト定義およびアクセシビ リ ティを示します。

サンプル メ ト リ ッ ク カウンター x レジスタ (SMCR)キャプチャ イベン トが生じる と、サンプル メ ト リ ッ ク カウンター レジスタにメ ト リ ッ ク カウンター値がキャプチャされます。 この場合のキャプチャ イベン トは、 コアに渡される外部イベン ト、 サンプル インターバル カウンターのオーバーフローまたはサンプル レジスタ読み出しのオーバーフローです。 これらのレジスタは、 AXI ク ロ ッ ク ド メインにあ り ます。 表 2-21 に、 このレジスタのビッ ト定義およびアクセシビ リ ティを示します。

15-0 Range LOW 読み出し /書き込み

0x00 範囲の下限値。

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。

2. システム内に存在するレンジ レジスタの数は、Vivado IDE で設定した [Number of Event Counters] パラ メーター値に基づきます。

3. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

4. すべてのレンジ レジスタのアドレスについては、 レジスタ空間表を参照して ください。

表 2-20 : メ ト リ ッ ク カウン ト ログ イネーブル レジスタのビッ ト定義 (0x010C ~ 0x19C)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Counter Cut Off Value読み出し /書き込み

0イベン ト ログ機能を有効にするための メ ト リ ッ ク カウンターのカ ットオフ値。

注記 :

1. イベン ト カウンターと イベン ト ログが両方と も有効で Advanced モードの場合のみ有効です。

2. システム内に存在する メ ト リ ッ ク カウン ト ログ イネーブル レジスタの数は、Vivado IDE で設定した [Number of Event Counters]パラ メーター値に基づきます。

3. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

4. すべてのメ ト リ ッ ク カウン ト ログ イネーブル レジスタのアドレスについては、 レジスタ空間表を参照してください。

表 2-21 : サンプル メ ト リ ック カウンター レジスタのビッ ト定義 (0x0200)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Sampled Metric Counter(Accumulator) 読み出し 0 サンプル メ ト リ ッ ク カウンターの値。

注記 :

1. システム内に存在するレンジ レジスタの数は、 Vivado IDE で設定した [Number of Event Counters] パラ メーター値に基づ

きます。

2. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

3. すべてのサンプル メ ト リ ッ ク カウンターのアドレスについては、 レジスタ空間表を参照してください。

4. サンプル メ ト リ ッ ク カウンター 0 ~ 47 は、 Advanced モードおよび Profile モードの場合に有効です。

5. サンプル メ ト リ ッ ク カウンター 48 ~ 63 は、 Profile モードの場合のみ有効です。

6. サンプル メ ト リ ッ ク カウンター 48 ~ 63 には、最小/最大レイテンシの値が含まれます。これらの値は累算値ではあ り ません。

7. サンプル メ ト リ ッ ク カウンター 48 ~ 63 の上位 16 ビッ トに最大レイテンシの値が含まれ、下位 16 ビッ トに最小レイテンシ

の値が含まれます。

表 2-19 : レンジ レジスタのビッ ト定義 (0x0108) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 42PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

サンプル インクリ メンター x レジスタ (SIR)キャプチャ イベン トが生じる と、 サンプル インク リ メンター レジスタにインク リ メンターの値がキャプチャされます。この場合のキャプチャ イベン トは、コアに渡される外部イベン ト、サンプル インターバル カウンターのオーバーフローまたはサンプル レジスタ読み出しのオーバーフローです。 これらのレジスタは、 AXI ク ロ ッ ク ド メ インにあり ます。 表 2-22 に、 このレジスタのビッ ト定義およびアクセシビ リ ティを示します。

制御レジスタ (CR)制御レジスタは 32 ビッ トのレジスタです。 このレジスタを使用して、 コア内のメ ト リ ッ ク カウンターを有効化およびリセッ ト します。 また、 コア内のイベン ト ログ機能を有効にする場合にも使用します。 表 2-23 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

表 2-22 : サンプル インクリ メンター レジスタのビッ ト定義 (0x0204)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Sampled Incrementer 読み出し 0 サンプル インク リ メンターの値。

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。

2. システム内に存在するサンプル インク リ メ ンターの数は、 Vivado IDE で設定した [Number of Event Counters] パラ メーター値に

基づきます。

3. x 値は、 0 ~ ([Number of Event Counters] 値 -1) の範囲です。

4. すべてのサンプル インク リ メ ンターのアドレスについては、 レジスタ空間表を参照してください。

表 2-23 : 制御レジスタのビッ ト定義 (0x0300)

ビッ ト 名前 アクセス リセッ ト値 説明

31-26 Reserved N/A N/A 予約

25 Streaming_FIFO_Reset 読み出し /書き込み

0

1 : ス ト リーミ ング FIFO を リセッ トする。

ト リ ガー パラ メーター (C_EN_TRIGGER)がアサート されている場合、 すべての ト リガー パス ロジッ クを リ セッ トする場合にも同じビッ トが使用される。

24 Reserved N/A N/A 予約

23-18 Reserved N/A N/A 予約

17 Global_Clk_Cnt_Reset 読み出し /書き込み

01 : フ リーランニングのグローバル ク ロ ック カウンターを リ セ ッ ト する。 Advancedモードの場合のみ有効。

16 Global_Clk_Cnt_En 読み出し /書き込み

01 : フ リーランニングのグローバル ク ロ ック カウンターを有効にする。Advanced モードの場合のみ有効。

15-10 Reserved N/A N/A 予約

9 Use_Ext_Trig_Log 読み出し /書き込み

0 1 : 外部ト リ ガーを使用してイベン ト ログを開始する。

8 Enable_Event_Log 読み出し /書き込み

0 1 : イベン ト ログ機能を有効にする。

7 Read Latency End Point 読み出し /書き込み

0

1 : 最初の読み出しを読み出しレイテンシの終了点と して有効にする。

0 : 最後の読み出しを読み出しレイテンシの終了点と して有効にする。

AXI Performance Monitor v5.0 japan.xilinx.com 43PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

6 Read Latency Start Point 読み出し /書き込み

0

0 : マスター インターフェイスによるアドレス発行を読み出しレイテンシの開始点として有効にする (ARVALID)。1 : スレーブによるアドレスの承諾を読み出し レ イテンシの開始点と して有効にする(ARVALID および ARREADY)。

5 Write Latency End Point 読み出し /書き込み

0

1 : 最初の書き込みを書き込みレイテンシの終了点と して有効にする。

0 : 最後の書き込みを書き込みレイテンシの終了点と して有効にする。

4 Write Latency Start Point 読み出し /書き込み

0

0 : マスター インターフェイスによるアドレス発行を書き込みレイテンシの開始点として有効にする (AWVALID)。1 : スレーブ インターフェイスによるアドレスの承諾を書き込みレイテンシの開始点と し て有効にする (AWVALID およびAWREADY)。

3Enable ID Based Filtering/Masking

読み出し /書き込み

0

このビ ッ ト は Advanced モードでのみ有効です。

0 : メ ト リ ッ ク計算用の ID を無視する。

1 : ID によるフ ィルターおよびマスク機能を有効にする。 有効の場合、 すべてのメ トリ ッ クは IDR レジスタおよび IDMR レジスタに設定された ID に対応する。

2 Use_Ext_Trig 読み出し /書き込み

0 1 : 外部ト リ ガーを使用して メ ト リ ッ ク カウンターを開始する。

1 Metrics_Cnt_Reset 読み出し /書き込み

0

1 : モニター内のすべてのメ ト リ ッ ク カウンターとサンプル メ ト リ ッ ク カウンターを リセッ トする。注記 : メ ト リ ッ ク リ セッ トのディアサート (制御

レジスタを使用してアサート ) には、 コアで 5 クロ ッ ク サイクル必要。

0 Metrics_Cnt_En 読み出し /書き込み

0 1 : モニター内のすべてのメ ト リ ッ ク カウンターを有効にする。

表 2-23 : 制御レジスタのビッ ト定義 (0x0300) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 44PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

ID レジスタ (IDR)このレジスタには、 メ ト リ ッ ク計算用にフ ィルター /マスク される書き込み ID および読み出し ID が設定されます。これらの ID ビッ トは、APM コアで ID ベースのフ ィルター機能が有効に設定されている場合に使用されます。表 2-24に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

ID マスク レジスタ (IDMR)このレジスタを使用して、すべてのメ ト リ ッ ク計算用の書き込み/読み出し ID のマスクを設定します。表 2-25 に、 このレジスタのビッ ト定義およびアクセシビ リ テ ィ を示します。 マスクが設定されている場合、 APM はインターフェイス上の対応するビッ ト を無視して ID をフ ィルタ リ ングします。

Flag Enable Control レジスタ (FECR)フラグ イネーブル制御レジスタは 32 ビッ トのレジスタです。 このレジスタを使用して、 ス ト リーミ ング FIFO へのデータ ログに使用されるイベン ト を有効にします。 表 2-26 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

表 2-24 : ID レジスタ (IDR) のビッ ト定義 (0x304)

ビッ ト 名前 アクセス リセッ ト値 説明

31-16 RID 読み出し /書き込み 0x00 フ ィルター /マスク用の読み出し ID

15-0 WID 読み出し /書き込み 0x00 フ ィルター /マスク用の書き込み ID

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。

表 2-25 : ID マスク レジスタ (IDMR) のビッ ト定義 (0x308)

ビッ ト 名前 アクセス リセッ ト値 説明

31-16 RID 読み出し /書き込み 0x00 マスクする読み出し ID

15-0 WID 読み出し /書き込み 0x00 マスクする書き込み ID

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。

表 2-26 : フラグ イネーブル制御レジスタのビッ ト定義 (0x0400)

ビッ ト 名前 アクセス リセッ ト値 説明

31Enable metric counter 9Flag

読み出し /書き込み 0

1 : メ ト リ ッ ク カウンター 9 の値がカッ トオフ レジスタ 9 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

30Enable Metric Counter 8Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 8 の値がカッ トオフ レジスタ 8 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

29Enable Metric Counter 7Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 7 の値がカッ トオフ レジスタ 7 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

28Enable Metric Counter 6Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 6 の値がカッ トオフ レジスタ 6 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

AXI Performance Monitor v5.0 japan.xilinx.com 45PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

27Enable Metric Counter 5Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 5 の値がカッ トオフ レジスタ 5 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

26Enable Metric Counter 4Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 4 の値がカッ トオフ レジスタ 4 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

25Enable Metric Counter 3Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 3 の値がカッ トオフ レジスタ 3 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

24Enable Metric Counter 2Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 2 の値がカッ トオフ レジスタ 2 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

23Enable Metric Counter 1Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 1 の値がカッ トオフ レジスタ 1 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

22Enable Metric Counter 0Flag

読み出し /書き込み

0 1 : メ ト リ ッ ク カウンター 0 の値がカッ トオフ レジスタ 0 に与えられた値を超えた場合、イベン ト ログ機能を有効にする。

21Enable Sample counterLapse Flag

読み出し /書き込み

0 1 : サンプル カウンターが停止したと きにイベン ト ログ機能を有効にする。

20Enable Global ClockCount Overflow Flag

読み出し /書き込み

0 1 : グローバル ク ロ ッ ク カウンターがオーバーフローしたと きにイベン ト ログ機能を有効にする。

19Enable External EventStart Flag

読み出し /書き込み

0 1 : イベン ト開始信号でイベン ト ログ機能を有効にする。

18Enable External EventStop Flag

読み出し /書き込み

0 1 : イベン ト停止信号でイベン ト ログ機能を有効にする。

17Enable External EventFlag

読み出し /書き込み

0 1 : イベン ト信号でイベン ト ログ機能を有効にする。

16Enable Software-writtendata Flag

読み出し /書き込み

01 : ソフ ト ウェア書き込みデータ レジスタへの書き込み時にイベン ト ログ機能を有効にする。

15-7 Reserved N/A N/A 予約

6 Enable Last Read Flag 読み出し /書き込み

0 1 : 最後の読み出し ト ランザクシ ョ ンでイベン ト ログ機能を有効にする。

5 Enable First Read Flag 読み出し /書き込み

0 1 : 最初の読み出し ト ランザクシ ョ ンでイベン ト ログ機能を有効にする。

4 Enable Read Addr Flag 読み出し /書き込み

0 1 : 読み出しアドレスのラ ッチでイベン ト ログ機能を有効にする。

3 Enable Response Flag 読み出し /書き込み

0 1 : ト ランザクシ ョ ンの応答でイベン ト ログ機能を有効にする。

2 Enable Last Write Flag 読み出し /書き込み

0 1 : 最後の書き込みト ランザクシ ョ ンでイベン ト ログ機能を有効にする。

1 Enable First Write Flag 読み出し /書き込み

0 1 : 最初の書き込みト ランザクシ ョ ンでイベン ト ログ機能を有効にする。

表 2-26 : フラグ イネーブル制御レジスタのビッ ト定義 (0x0400) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 japan.xilinx.com 46PG037 2015 年 11 月 18 日

第 2 章 : 製品仕様

ソフ トウェア書き込みデータ レジスタ (SWDR)ソフ ト ウェア書き込みデータ レジスタは 32 ビッ トのレジスタです。このレジスタへ書き込みを実行する と、ス ト リーミ ング FIFO へこのレジスタの値が書き込まれます。表 2-27 に、 このレジスタのビッ ト定義およびアクセシビ リティを示します。

0 Enable Write Addr Flag 読み出し /書き込み

0 1 : 書き込みアドレスのラ ッチでイベン ト ログ機能を有効にする。

注記 :

1. この値は、 Advanced モードでイベン ト カウンターが有効の場合のみ有効です。 Trace モードの場合は、 パラ メーターでフラグ

を制御します。

表 2-27 : ソフ トウェア書き込みデータ レジスタのビッ ト定義 (0x0404)

ビッ ト 名前 アクセス リセッ ト値 説明

31-0 Software-written Data 読み出し /書き込み 0x00 ソフ ト ウェア書き込みのデータ

注記 :

1. この値は、 Advanced モードまたは Trace モードでイベン ト カウンターが有効の場合のみ有効です。

表 2-26 : フラグ イネーブル制御レジスタのビッ ト定義 (0x0400) (続き)

ビッ ト 名前 アクセス リセッ ト値 説明

AXI Performance Monitor v5.0 47PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

第 3 章

コアを使用するデザインこの章では、 コアを使用してデザインを完成させるためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン ガイド ライン

性能の測定やイベン トの記録に AXI Performance Monitor コアを使用するすべてのデザインで、 次の手順に従う こ とを推奨しています。

イベン ト カウン ト モジュールを使用する性能測定

1. システムに Performance Monitor コアをインスタンシエート します。 図 3-1 を参照して ください。

2. モニター スロ ッ トに接続されたエージェン トに基づいて、 コア スロ ッ ト プロ ト コルを設定します。

3. core_aclk がデザイン内で最速クロ ッ クであるこ とを確認します。

4. コンフ ィギュレーシ ョ ン レジスタをプログラムします。

5. メ ト リ ッ ク セレクター レジスタにメ ト リ ッ クの種類と ターゲッ ト エージェン ト を書き込みます。

6. メ ト リ ッ ク カウンターおよびグローバル カウンターを有効にします (制御レジスタ )。 必要に応じてメ ト リ ッ ク カウン ト を開始するため、 制御レジスタで外部ト リガー信号を有効にします。 外部ト リガー信号を使用してメト リ ッ ク カウンターを有効にする方法はオプシ ョ ンです。

7. 必要に応じて、 メ ト リ ッ ク カウンター /インク リ メンター /サンプル メ ト リ ッ ク カウンター /サンプル インク リメンター /グローバル ク ロ ッ ク カウンターを読み出します。

AXI Performance Monitor v5.0 48PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

イベン ト ログ モジュールを使用するイベン ト ログ機能

1. システムに AXI Performance Monitor コアをインスタンシエート します。

2. モニター スロ ッ トに接続されたエージェン トに基づいて、 コアを設定します。

3. core_aclk がデザイン内で最速クロ ッ クであるこ とを確認します。

4. コンフ ィギュレーシ ョ ン レジスタをプログラムします。

5. フラグ イネーブル レジスタを使用して、 イベン ト ログにイベン ト をキャプチャするために必要なフラグを有効にします。

6. 制御レジスタの Enable_Event_Log ビッ ト を有効にします。

7. ク ロス プローブ機能はオプシ ョ ンで、 イベン ト ログを自動的に開始する場合には必要です (制御レジスタの Enable_Event_Log ビッ ト を有効に設定)。 メ ト リ ッ ク カウン ト ログ イネーブル レジスタ x にターゲッ ト メ トリ ッ ク カウン ト をロード して、 ク ロスプローブ用の対応するフラグを設定します。 指定されたカッ トオフ カウン トにメ ト リ ッ ク カウン トが到達する と、 イベン ト ログが自動的に開始されます。

8. コアのス ト リーミ ング インターフェイスからデータを読み出します。

X-Ref Target - Figure 3-1

図 3-1 : AXI Performance Monitor のブロック図

AXI Performance Monitor v5.0 49PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

プログラ ミング シーケンス

このセクシ ョ ンでは、 各モードのプログラ ミ ング シーケンスについて説明します。

Advanced モード

このセクシ ョ ンでは、 Advanced モードでイベン ト カウン トやイベン ト ログを使用する場合の AXI Performance Monitor コアのプログラム方法について説明します。

サンプル インターバル カウンターを使用して非 ID ベースのメ ト リ ッ ク カウント を取得する場合

このセクシ ョ ンでは、 8 個のモニター スロ ッ ト 、 8 個の外部イベン ト、 および 10 個のカウンターを備えたシステムを使用します。 このサンプル システムは、 スロ ッ ト 7 がス ト リーミ ング インターフェイスへ接続され、 スロ ッ ト 2 がメモ リ マップ インターフェイスへ接続されています。 この場合、 サンプル インターバル カウンターを使用してメ ト リ ッ ク カウン ト を取得する手順は次のとおりです。

1. 制御レジスタ (0x300) に 0x0020002 を書き込み、 グローバル カウンターと メ ト リ ッ ク カウンターを リセッ ト します。

2. 必要なスロ ッ ト メ ト リ ッ クに応じて、 メ ト リ ッ ク セレクター レジスタ (0x44、 0x48、 0x4C) を設定します。 各 メ ト リ ッ ク セレクター レジスタで使用されるコンフ ィギュレーシ ョ ンの詳細は次のとおりです。

° メ ト リ ッ ク セレクター レジスタ 0 (0x44) に 0xF6F1F2F0 を書き込む

- メ ト リ ッ ク カウンター 0 : Slot 7 Transfer Cycle count (F0)

- メ ト リ ッ ク カウンター 1 : Slot 7 Data Byte count (F2)

- メ ト リ ッ ク カウンター 2 : Slot 7 Packet count (F1)

- メ ト リ ッ ク カウンター 3 : Slot 7 Master Idle count (F6)

° メ ト リ ッ ク セレクター レジスタ 1 (0x48) に 0x26222120 を書き込む

- メ ト リ ッ ク カウンター 4 : Slot 2 Write Transaction count (20)

X-Ref Target - Figure 3-2

図 3-2 : イベン ト カウン ト モジュール

AXI Performance Monitor v5.0 50PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

- メ ト リ ッ ク カウンター 5 : Slot 2 Read Transaction count (21)

- メ ト リ ッ ク カウンター 6 : Slot 2 Write Byte count (22)

- メ ト リ ッ ク カウンター 7 : Slot 2 Total Write latency (26)

° メ ト リ ッ ク セレクター レジスタ 2 (0x4C) に 0x00005E1E を書き込む

- メ ト リ ッ ク カウンター 8 : External Event 0 カウン ト (1E)

- メ ト リ ッ ク カウンター 9 : External Event 2 カウン ト (5E)

3. 0X00000001 でグローバル割り込み (0x30) を有効にします。

4. 0X00000002 でサンプル インターバル カウンターのオーバーフロー割り込み (0x34) を有効にします。

5. 要件に基づいてサンプル インターバル レジスタ (0x24) のロード値に 0x00001000 (4096 ク ロ ッ ク ) を書き込みます。

6. サンプル インターバル制御レジスタ (0x28) のロード ビッ ト を設定、 つま り 0x00000002 に設定します。

7. サンプル インターバル カウンターを有効にします。 サンプル インターバル制御レジスタ (0x28) に 0x00000001 を書き込みます。

8. メ ト リ ッ ク カウンターを有効にします。 必要に応じて、 制御レジスタ (0x300) に 0x00010001 を書き込み、 グローバル ク ロ ッ ク カウンターを有効にします。

これで、 Performance Monitor の設定が完了し、 関連するスロ ッ トの ト ランザクシ ョ ンがアクティブ状態とな り ます (この例では、 Slot 7、 Slot 1、 External Event 0、 および External Event 2)。

9. サンプル インターバル カウンターのオーバーフロー割り込み (0x38 の 0x2) を待機し、 0x28 に 0x0000000 を書き込み、 サンプル メ ト リ ッ ク カウンターを無効にします。

10. 設定されたメ ト リ ッ クをキャプチャするために、 一定の期間 (この例では 4096 コア ク ロ ッ ク )、 サンプル メ トリ ッ ク カウンター (0x200、 0x210、 … 0x290 まで) を読み出します。

DMA/メモリ転送のメ ト リ ッ ク カウン ト を取得する場合

次の手順にしたがって、 DMA/メモ リ転送のメ ト リ ッ ク カウン ト を取得します。

1. 制御レジスタ (0x300) に 0x0020002 を書き込み、 グローバル カウンターと メ ト リ ッ ク カウンターを リセッ ト します。

2. 必要なスロ ッ ト メ ト リ ッ クに対して、 メ ト リ ッ ク セレクター レジスタ (0x44、 0x48、 0x4C) を設定します。

3. 制御レジスタ (0x300) に 0x00000001 を書き込み、 メ ト リ ッ ク カウンターを有効にします。

4. DMA/メモ リ転送を開始します。

5. 転送完了後、 設定されたメ ト リ ッ クを取得するために、 メ ト リ ッ ク カウンター (0x100、 0x110、 … 0x190 まで) を読み出します。

ソフ トウェア アプリケーシ ョ ンのプロファイリング

ソフ ト ウェア アプリ ケーシ ョ ンのプロファ イ リ ングを実行する場合、 ユーザーの要件に基づいてグローバル ク ロ ック カウンターの幅を 32 または 64 に設定します。 グローバル ク ロ ッ ク カウンターの幅を適切に設定した後、 次の手順を行ってください。

1. 制御レジスタ (0x300) に 0x0020000 を書き込み、 グローバル カウンターを リセッ ト します。

2. 制御レジスタ (0x300) に 0x0010000 を書き込み、 グローバル カウンターを有効にします。

3. アプリ ケーシ ョ ンを実行します。

4. アプリ ケーシ ョ ンの動作終了後、 またはアプリ ケーシ ョ ンの特定イベン トで 32 または 64 ビッ トのグローバル ク ロ ッ ク カウンターの値を読み出して、 アプリ ケーシ ョ ンのランタイム情報を取得します。

AXI Performance Monitor v5.0 51PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

モニター スロッ トの書き込み/読み出しレイテンシの範囲を取得する場合 (5 レンジ)

モニター スロ ッ トが 1 個 (C_NUM_MONITOR_SLOTS =1) と メ ト リ ッ ク カウンターが 10 個 (C_NUM_OF_COUNTERS = 10) 含まれるよ うにコアが構成されている場合、 次の手順に従って書き込み/読み出しレイテンシの範囲を取得します。

1. 制御レジスタ (0x300) に 0x0000002 を書き込み、 メ ト リ ッ ク カウンターを リセッ ト します。

スロ ッ ト 0 の読み出しレイテンシおよび書き込みレイテンシ用にメ ト リ ッ ク セレクター レジスタ (0x44、 0x48、0x4C) を設定します。読み出しレイテンシ用にメ ト リ ッ ク カウンター 0 ~ 4 を設定し、書き込みレイテンシ用にメ ト リ ッ ク カウンター 5 ~ 9 を設定します。

° メ ト リ ッ ク セレクター レジスタ 0 (0x44) に 0x05050505 を書き込む

° メ ト リ ッ ク セレクター レジスタ 1 (0x48) に 0x06060605 を書き込む

° メ ト リ ッ ク セレクター レジスタ 2 (0x4C) に 0x00000606 を書き込む

2. レンジ レジスタに Low 値および High 値を設定します。

° 読み出しレイテンシ用のレンジ レジスタ :

- レンジ レジスタ 0 (0x108) に 0x140000 (0 ~ 20) を書き込む

- レンジ レジスタ 1 (0x118) に 0x280015 (21 ~ 40) を書き込む

- レンジ レジスタ 2 (0x128) に 0x3C0029 (41 ~ 60) を書き込む

- レンジ レジスタ 3 (0x138) に 0x50003D (61 ~ 80) を書き込む

- レンジ レジスタ 4 (0x148) に 0x640051 (81 ~ 100) を書き込む

° 書き込みレイテンシ用のレンジ レジスタ :

- レンジ レジスタ 0 (0x158) に 0x140000 (0 ~ 20) を書き込む

- レンジ レジスタ 1 (0x168) に 0x280015 (21 ~ 40) を書き込む

- レンジ レジスタ 2 (0x178) に 0x3C0029 (41 ~ 60) を書き込む

- レンジ レジスタ 3 (0x188) に 0x50003D (61 ~ 80) を書き込む

- レンジ レジスタ 4 (0x198) に 0x640051 (81 ~ 100) を書き込む

3. 制御レジスタ (0x300) に 0x00000001 を書き込み、 メ ト リ ッ ク カウンターを有効にします。

4. 読み出しレイテンシと書き込みレイテンシを取得するためにスロッ ト 0 のト ランザクシ ョ ンを開始します。

5. スロ ッ ト 0 のト ランザクシ ョ ン終了後、 インク リ メンター レジスタ (0x104、 0x114、 … 0x194 まで) を読み出して、 与えられた範囲内での読み出しレイテンシと書き込みレイテンシの範囲を確認します。

このユース ケースに従ってサンプル インターバル カウンターのロード と有効化を行う こ とで、 0x0024 (サンプル インターバル レジスタの値) ク ロ ッ ク後にサンプル インク リ メンター レジスタ (0x204、 0x214、 … 0x294 まで) のデータを取得できます。

First Write、 First Read、 Software-Written Data、 External Event の各フラグでイベント ログ機能を有効にする場合

このユース ケースは、 イベン ト ログ機能が有効で、 その他のイベン ト ログ パラ メーター (FIFO Width、 Enable TID、Enable TDEST、 Enable TUSER、 Enable ID、 Enable Length) が適切に設定されているこ とを前提と します。 First Write、First Read、 Software-Written Data、 および External Event の各フラグでイベン ト ログ機能を有効にする手順は次のとおりです。

1. 制御レジスタ (0x300) に 0x2000000 を書き込み、 ス ト リーミ ング FIFO を リセッ ト します。

2. フラグ イネーブル レジスタ (0x400) を使用して、 イベン ト ログにイベン ト をキャプチャするために必要なフラグを有効にします。 たとえば、 First write、 First Read、 External Event、 および Software-Written Data フラグを有効にするには、 フラグ イネーブル レジスタに 0x30022 を書き込みます。

AXI Performance Monitor v5.0 52PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

3. 制御レジスタのイベン ト ログ ビッ ト を有効にします (0x300 に 0x100 を書き込む)。

4. 記録に必要なデータをソフ ト ウェア書き込みデータ レジスタに書き込みます。

5. ス ト リーミ ング インターフェイスを介してログ データをオフロード します。

外部ト リガー信号を使用して DMA/メモリ転送のメ ト リ ッ ク カウン ト を取得する場合

次の手順に従って、 DMA/メモ リ転送のメ ト リ ッ ク カウン ト を取得します。

1. 制御レジスタ (0x300) に 0x0020002 を書き込み、 グローバル カウンターと メ ト リ ッ ク カウンターを リセッ ト します。

2. 必要なスロ ッ ト メ ト リ ッ クに対して、 メ ト リ ッ ク セレクター レジスタ (0x44、 0x48、 0x4C) を設定します。

3. 制御レジスタ (0x300) に 0x00000005 を書き込むこ とで、 外部ト リガーを使用してメ ト リ ッ ク カウンターを有効にし、 それらを設定します。

4. 外部ト リガー開始信号を適用した後、 メ ト リ ッ ク カウンターにキャプチャするために DMA/メモ リ転送を開始します。

5. 転送完了後、 設定されたメ ト リ ッ クを取得するために、 メ ト リ ッ ク カウンター (0x100、 0x110、 … 0x190 まで) を読み出します。

6. リセッ ト適用後、 再度同じ手順を実行して外部ト リガー信号を有効にし、 メ ト リ ッ ク カウンターを開始します。

クロス プローブ機能

ク ロス プローブ機能は、 First Write、 First Read、 および External Event の各フラグ (メ ト リ ッ ク カウンター 2 が予想値を超えたと き ) で、 イベン ト ログをキャプチャします。 このユース ケースは、 イベン ト ログ機能と イベン ト カウンターが有効であ り、 その他のイベン ト ログ パラ メーターが適切に設定されているこ とが前提となり ます。

First Write、 First Read、 および External Event の各フラグでのイベン ト ログのキャプチャ手順は次のとおりです。

1. 制御レジスタ (0x300) に 0x2000002 を書き込み、 ス ト リーミ ング FIFO を リセッ ト します。

2. メ ト リ ッ ク カウン ト イベン ト ログ イネーブル レジスタ 2 (0x12C) に予想値をロード します。メ ト リ ッ ク カウンター 2 がこの予想値を超える と、 イベン ト ログが開始します。

3. フラグ イネーブル レジスタ (0x400) を使用して、 イベン ト ログにイベン ト をキャプチャするために必要なフラグを有効にします。 たとえば、 First Write、 First Read、 External Event、 および Metric Counter 2 の各フラグを有効にして、 ク ロス プローブ機能を可能にします。 フラグ イネーブル レジスタには 0x1020022 を書き込みます。

4. メ ト リ ッ ク セレクター レジスタ (0x44、 0x48、 0x4C) に任意のメ ト リ ッ クを設定します。

5. 制御レジスタの Metrics_Cnt_En ビッ ト を設定します (0x300 に 0x001 を書き込む)。

6. 接続されたモニター スロ ッ トで任意のト ランザクシ ョ ンを開始します。

7. ス ト リーミ ング インターフェイスを介してログ データをオフロード します。

サンプル レジスタの読み出しでメ ト リ ッ ク カウン ト を取得する場合

このセクシ ョ ンでは、 2 個のモニター スロ ッ ト 、 2 個の外部イベン ト、 および 3 個のカウンターを備えたシステムを使用します。 このサンプル システムは、 スロ ッ ト 1 が AXI4-Stream インターフェイスへ接続され、 スロ ッ ト 2 がメモ リ マップ インターフェイスへ接続されています。 この場合、 サンプル レジスタを使用してメ ト リ ッ ク カウン トを取得する手順は次のとおりです。

1. 制御レジスタ (0x300) に 0x0000002 を書き込み、 メ ト リ ッ ク カウンターを リセッ ト します。

2. 必要なスロ ッ ト メ ト リ ッ クに対して、 メ ト リ ッ ク セレクター レジスタ (0x44) を設定します。 各 メ ト リ ッ ク セレクター レジスタで使用されるコンフ ィギュレーシ ョ ンの詳細は次のとおりです。

° メ ト リ ッ ク セレクター レジスタ 0 (0x44) に 0x16111210 を書き込む

AXI Performance Monitor v5.0 53PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

- メ ト リ ッ ク カウンター 0 : Slot 1 Transfer Cycle count (10)

- メ ト リ ッ ク カウンター 1 : Slot 1 Data Byte count (12)

- メ ト リ ッ ク カウンター 2 : Slot 1 Packet count (11)

- メ ト リ ッ ク カウンター 3 : Slot 1 Master Idle count (16)

3. 制御レジスタ (0x300) に 0x00000001 を書き込み、 メ ト リ ッ ク カウンターを有効にします。 これで、 AXI Performance Monitor コアの設定が完了し、 関連するスロ ッ トの ト ランザクシ ョ ンがアクティブ状態とな り ます (この例では Slot 1)。

4. ト ランザクシ ョ ンが完了するまで待機し、 サンプル レジスタ (0x002C) を読み出します。

5. 設定されたメ ト リ ッ クを取得するために、 サンプル メ ト リ ッ ク カウンター (0x200、 0x210、 および 0x220) を読み出します。

ID フ ィルター機能を使用して DMA/メモリ転送のメ ト リ ッ ク カウン ト を取得する場合

次の手順に従って、 特定 ID の DMA/メモ リ転送のメ ト リ ッ ク カウン ト を取得します。

1. 制御レジスタ (0x300) に 0x0000002 を書き込み、 メ ト リ ッ ク カウンターを リセッ ト します。

2. 必要なスロ ッ ト メ ト リ ッ クに対して、 メ ト リ ッ ク セレクター レジスタ (0x44、 0x48、 0x4C) を設定します。

3. メ ト リ ッ ク計算にフ ィルター機能を適用するため、 ID レジスタ (0x0304) に書き込みト ランザクシ ョ ン ID と読み出し ト ランザクシ ョ ン ID を設定します。

4. ID フ ィルターに適用する ID マスクがある場合は、 ID マスク レジスタ (0x0308) を設定します。 マスク ビッ トが 1 の場合、 ト ランザクシ ョ ン ID の対応するビッ トが ID フ ィルター機能によって無視されます。

5. 制御レジスタ (0x300) に 0x00000001 を書き込み、 メ ト リ ッ ク カウンターを有効にします。

6. DMA/メモ リ転送を開始します。

7. 転送完了後、 設定されたメ ト リ ッ クを取得するために、 メ ト リ ッ ク カウンター (0x100、 0x110、 … 0x190 まで) を読み出します。

Profile モード

このセクシ ョ ンでは、 Profile モードで使用されるプログラ ミ ング シーケンスについて説明します。

サンプル レジスタの読み出しでメ ト リ ッ ク カウン ト を取得する場合

このセクシ ョ ンでは、 2 個のモニター スロ ッ ト を備え、 Profile モードで動作する APM を含むシステムを使用します。 Profile モードは、 AXI4/AXI3/AXI4-Lite インターフェイスのみをサポートするため、 スロ ッ ト 1 と スロ ッ ト 2 は AXI4 インターフェイスに接続されます。 この場合、 サンプル レジスタを使用してメ ト リ ッ ク カウン ト を取得する手順は次のとおりです。

1. 制御レジスタ (0x300) に 0x0000002 を書き込み、 メ ト リ ッ ク カウンターを リセッ ト します。

2. 制御レジスタ (0x300) に 0x00000001 を書き込み、 メ ト リ ッ ク カウンターを有効にします。 これで、 AXI Performance Monitor コアの設定が完了し、関連するスロ ッ ト 1 と スロ ッ ト 2 のト ランザクシ ョ ンがアクティブ状態となり ます。

3. ト ランザクシ ョ ンが完了するまで待機して、 サンプル レジスタ (0x002C) を読み出します。

4. サンプル メ ト リ ッ ク カウンター (0x200、 0x210、 0x220、 0x230、 0x240、 0x250) を読み出してスロ ッ ト 1 の固定メ ト リ ッ クを取得し、 サンプル メ ト リ ッ ク カウンター (0x260、 0x270、 0x280、 0x290、 0x2A0、 0x2B0) を読み出してスロ ッ ト 2 の固定メ ト リ ッ クを取得します。

AXI Performance Monitor v5.0 54PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

Trace モード

このユース ケースは、 AXI Performance Monitor コアが Trace モードで動作し、 次に示すパラ メーターが適切に設定されているこ とを前提と します。

• FIFO Depth

• Write Address Flag

• First Write Flag

• Last Write Flag

• Write Response Flag

• Read Address Flag

• First Read Flag

• Last Read Flag

• Software Register Write Flag

• Enable IDs In Log data

• Enable Transaction Length in Log data

次の手順に従って、 Trace モードのメ ト リ ッ ク カウン ト をキャプチャします。

1. 制御レジスタ (0x300) に 0x2000000 を書き込み、 AXI4-Stream FIFO を リセッ ト します。

2. 制御レジスタのイベン ト ログ ビッ ト を有効にします (0x300 に 0x100 を書き込む)。

3. 記録に必要なデータをソフ ト ウェア書き込みデータ レジスタに書き込みます。

4. APM の接続されたスロ ッ トで AXI ト ラフ ィ ッ クを開始します。

5. コアの固定の設定によってフラグが有効になっているため、 要求されたフラグがアサート された場合、 またはデータ レジスタに書き込みが実行された場合に APM はログを開始します。

6. AXI4-Stream インターフェイスを介してログ データをオフロード します。

クロッキング

AXI Performance Monitor コアは、 コア ク ロ ッ ク用の非同期クロ ッ ク ド メ インとその他の用途に使用できるクロ ッ ク ド メ インをサポート しています。 必要に応じて、 各ク ロ ッ ク ド メ インの同期化を有効にする必要があ り ます。 有効なインターフェイス上のすべてのクロ ッ ク信号を適切に接続してください。 AXI Performance Monitor コアには、 次のクロ ッ ク ド メ インがあ り ます。

• AXI4-Lite ク ロ ッ ク ド メ インには、 s_axi_aclk が供給されます。

• コア ク ロ ッ ク ド メ インには、 core_aclk が供給されます。

• AXI4 スロ ッ ト ク ロ ッ ク ド メ インには、 slot_n_axi_aclk が供給されます。

• AXI4-Stream ク ロ ッ ク ド メ インには、 slot_n_axis_aclk が供給されます。

• 外部イベン ト ク ロ ッ ク ド メ インには、 ext_clk_n が供給されます。

• イベン ト ログ ク ロ ッ ク ド メ インには、 m_axis_aclk が供給されます。

AXI Performance Monitor v5.0 55PG037 2015 年 11 月 18 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

リセッ ト

各クロ ッ ク ド メ インには、 アクティブ Low のリセッ ト信号があ り ます。 例外と して、 サンプル メ ト リ ッ ク カウンターに使用される reset_event はアクティブ High のリセッ ト信号です。 リセッ ト信号は、 対応する各クロ ッ ク ドメ インに同期する必要があ り ます。

• AXI4-Lite ク ロ ッ ク ド メ インは、 s_axi_aresetn でリセッ ト されます。

• コア ク ロ ッ ク ド メ インは、 core_aresetn でリセッ ト されます。

• AXI4/AXI3/AXI4-Lite スロ ッ ト ク ロ ッ ク ド メ インは、 slot_n_axi_aresetn でリセッ ト されます。

• AXI4-Stream スロ ッ ト ク ロ ッ ク ド メ インは、 slot_n_axis_aresetn でリセッ ト されます。

• 外部イベン ト ク ロ ッ ク ド メ インは、 ext_rstn_n でリセッ ト されます。

• イベン ト ログ ク ロ ッ ク ク ロ ッ ク ド メ インは、 m_axis_aresetn でリセッ ト されます。

AXI Performance Monitor v5.0 56PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

第 4 章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 9]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 6]

コアのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado® Design Suite 環境でコアをカスタマイズおよび生成する方法について説明します。

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5] を参照してください。

注記 : この章の図には Vivado® IDE のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 9] を参照してください。 デザインの検証または生成時に一部の設定値が Vivado IDE によって自動的に計算される場合があり ます (このセクシ ョ ンの 「補足」 を参照)。

ヒン ト : これらのパラ メーター値は、 validate_bd_design コマンドが正し く完了した後に確認できます。

AXI Performance Monitor v5.0 57PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

IDE は、 操作を簡単にするためにタブで分けられています。 ほとんどのコンフ ィギュレーシ ョ ン オプシ ョ ンは [Basic] タブに含まれています。 各モニター スロ ッ トには、 スロ ッ ト関連のパラ メーターを指定するためのタブがあり ます。

コンポーネン ト名

このコアに対して生成される出力ファイルのベース名です。 最初の 1 文字は必ず小文字アルファベッ ト と し、 2 文字目以降は a ~ z、 0 ~ 9、 アンダースコア (_) を自由に組み合わせるこ とができます。

基本のオプシ ョ ン

このセクシ ョ ンでは、 AXI Performance Monitor コアで有効な IDE オプシ ョ ンについて説明します。

[APM Modes]

• [Advanced] : Advanced モードを有効にします。

• [Profile] : Profile モードを有効にします。

• [Trace] : Trace モードを有効にします。

[Functional Parameters]

• [Enable Event Counters] : コア内のイベン ト カウン ト ロジッ クを有効にします。

• [Enable Event Log] : コア内のイベン ト ログ ロジッ クを有効にします。

X-Ref Target - Figure 4-1

図 4-1 : Vivado IDE/IP インテグレーターの [Basic] タブ

AXI Performance Monitor v5.0 58PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

• [Enable External Triggers] : イベン ト カウンターおよびイベン ト ログを開始/停止するための外部ト リガーを有効にします。 Profile モードおよび Trace モードの場合は、 ト リガーを手動で選択できません。 これらはデフォルトで有効に設定されています。

• [Register Monitor Signals] : インターフェイス上のすべてのモニター信号にレジスタを付けるこ とで、 タイ ミ ングを向上させます。

• [Number of Monitor Interfaces] : モニター スロ ッ トの数を指定します。

[Event Count Parameters]

• [Number of Event Counters] : メ ト リ ッ ク カウンターの数を指定します。

• [Enable Sampled Event Counters] : [true] を選択する と、 コア内のサンプル メ ト リ ッ ク カウンターが有効にな ります。

• [Metric Counters Scaling] : こ こで選択したスケーリ ング係数に基づいて、 実際のメ ト リ ッ ク カウンター値が減少します。 有効な値は、 1、 2、 4、 および 8 です。 デフォルトのスケーリ ング係数は 1 です。

• [Global Clock Counter Width] : グローバル クロ ッ ク カウンター の幅を指定します。 有効な値は 32 または 64 です。

[External Event Parameters]

• [Enable External Events] : モニターおよびログ用の外部イベン ト を有効にします。 Trace モードの場合、 外部イベン ト を手動で選択できません。 これらはデフォルトで有効に設定されています。

• [Enable Synchronizers for Event (0 … 7)] : 外部イベン ト 0 の信号をコアのクロ ッ ク ド メ インに同期させるために FIFO を有効にします。

注記 : Vivado IP インテグレーターを使用する場合は、 接続されているクロ ッ クに基づいてシンクロナイザーのパラ メーターが自動的に計算されて、 設定されます。

[Monitor Interfaces] : Slot (0 to 7)

• [AXI Protocol] : モニター スロ ッ トに接続されているエージェン トが AXI3、 AXI4、 AXI4-Stream のいずれであるかを指定します。

• [Enable Synchronizer] : AXI モニター スロ ッ ト信号をコアのクロ ッ ク ド メ インに同期させるために FIFO を有効にします。

注記 : IP インテグレーターを使用する場合は、 接続されているクロ ッ クに基づいてシンクロナイザーのパラメーターが自動的に計算されて、 設定されます。

• [ID Width] : AXI4/AXI3/AXI4-Lite スロ ッ トの ID 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイス ID 幅に基づいて各スロ ッ トの ID 幅が自動的に計算されて設定されます。 AXI4-Lite インターフェイスを使用する場合、 ID 幅は 0 に設定されます。

• [Data Width] : AXI4/AXI3/AXI4-Lite スロ ッ トの DATA 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイス データ幅に基づいて各スロ ットのデータ幅が自動的に計算されて設定されます。

• [Address Width] : AXI4/AXI3/AXI4-Lite スロ ッ トの Address 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているアドレス幅に基づいて各スロ ッ トのアドレス幅が自動的に計算されて設定されます。

• [TUSER Width] : AXI4-Stream スロ ッ トの ID 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイスの TUSER 幅に基づいて各スロ ッ トの TUSER 幅が自動的に計算されて設定されます。

• [TDATA Width] : AXI4-Stream スロ ッ トのデータ幅を指定します。

AXI Performance Monitor v5.0 59PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイスの TDATA 幅に基づいて各スロ ッ トの TDATA 幅が自動的に計算されて設定されます。

• [TDEST Width] : AXI4-Stream スロ ッ トの TDEST 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイスの TDEST 幅に基づいて各スロ ッ トの TDEST 幅が自動的に計算されて設定されます。

• [TID Width] : AXI4-Stream スロ ッ トの TID 幅を指定します。

注記 : IP インテグレーターを使用する場合は、 接続されているインターフェイスの TID 幅に基づいて各スロ ットの TID 幅が自動的に計算されて設定されます。

[Log Parameters]

[Event Log Streaming Parameters]

• [Use Synchronous FIFO] : 同期 FIFO を有効にします。 コアはデフォルトで同期 FIFO を使用します。

注記 : IP インテグレーターを使用する場合は、 接続されているクロ ッ クに基づいて各スロ ッ トの同期 FIFO が自動的に計算されて設定されます。

• [Depth] : イベン ト ログ ス ト リーミ ング FIFO の深さを指定します。

• [TID Width] : イベン ト ログ ス ト リーミ ング TID の深さを指定します。

[AXI4 Monitor Slot Parameters]

• [Write Address Flag] : Trace モードの場合、 接続された AXI スロ ッ トの書き込みアドレス フラグをキャプチャします。

• [First Write Flag] : Trace モードの場合、 接続された AXI スロ ッ トの最初の書き込みフラグをキャプチャします。

• [Last Write Flag] : Trace モードの場合、 接続された AXI スロ ッ トの最後の書き込みフラグをキャプチャします。

• [Write Response Flag] : Trace モードの場合、 接続された AXI スロ ッ ト の書き込み応答フラグをキャプチャします。

• [Read Address Flag] : Trace モードの場合、 接続された AXI スロ ッ トの読み出しアドレス フラグをキャプチャします。

• [First Read Flag] : Trace モードの場合、 接続された AXI スロ ッ トの最初の読み出しフラグをキャプチャします。

• [Last Read Flag] : Trace モードの場合、 接続された AXI スロ ッ トの最後の読み出しフラグをキャプチャします。

• [SW Register Write Flag] : Trace モードの場合、 接続された AXI スロ ッ トの SW レジスタ書き込みフラグをキャプチャします。

• [External Event Flag] : Trace モードの場合、接続された AXI スロ ッ トの外部イベン ト フラグをキャプチャします。

• [Enable IDs in Log Data] : イベン ト ログ データの AXI4 Slot ID をキャプチャします。

• [Enable Transaction Length in Log Data] : イベン ト ログ データの AXI4 スロ ッ ト ト ランザクシ ョ ン長をキャプチャします。

• [Enable TDEST in Log Data] : イベン ト ログ データの AXI4-Stream スロ ッ ト ト ランザクシ ョ ン TDEST をキャプチャします。

• [Enable TUSER in Log Data] : イベン ト ログ データの AXI4-Stream スロ ッ ト ト ランザクシ ョ ン TUSER をキャプチャします。

• [Enable TID in Log Data] : イベン ト ログ データの AXI4-Stream スロ ッ ト ト ランザクシ ョ ン TID をキャプチャします。

AXI Performance Monitor v5.0 60PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

[User Parameters]

表 4-1 に、Vivado IDE の各フ ィールド とユーザー パラ メーターの対応関係を示します。ユーザー パラ メーターは Tcl コンソールで表示できます。

表 4-1 : Vivado IDE のパラメーターとユーザー パラメーターの対応

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Streaming Interface TID width C_FIFO_AXIS_TID_WIDTH 1

Streaming FIFO Depth C_FIFO_AXIS_DEPTH 32

ID width S_AXI_OFFLD_ID_WIDTH 1

Enable Event Log C_ENABLE_EVENT_LOG 0

Enable Synchronizers for Event0 C_EXT_EVENT0_FIFO_ENABLE 1

Enable Synchronizers for Event1 C_EXT_EVENT1_FIFO_ENABLE 1

Enable Synchronizers for Event2 C_EXT_EVENT2_FIFO_ENABLE 1

Enable Synchronizers for Event3 C_EXT_EVENT3_FIFO_ENABLE 1

Enable Synchronizers for Event4 C_EXT_EVENT4_FIFO_ENABLE 1

Enable Synchronizers for Event5 C_EXT_EVENT5_FIFO_ENABLE 1

Enable Synchronizers for Event6 C_EXT_EVENT6_FIFO_ENABLE 1

Enable Synchronizers for Event7 C_EXT_EVENT7_FIFO_ENABLE 1

Register All Monitor Signals C_REG_ALL_MONITOR_SIGNALS 0

Slot 7 :

Enable Synchronizer C_SLOT_7_FIFO_ENABLE 1

TUSER Width C_SLOT_7_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_7_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_7_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_7_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_7_AXI_PROTOCOL AXI4

ID Width C_SLOT_7_AXI_ID_WIDTH 1

Data Width C_SLOT_7_AXI_DATA_WIDTH 32

Address Width C_SLOT_7_AXI_ADDR_WIDTH 32

Slot 6 :

Enable Synchronizer C_SLOT_6_FIFO_ENABLE 1

TUSER Width C_SLOT_6_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_6_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_6_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_6_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_6_AXI_PROTOCOL AXI4

ID Width C_SLOT_6_AXI_ID_WIDTH 1

Data Width C_SLOT_6_AXI_DATA_WIDTH 32

Address Width C_SLOT_6_AXI_ADDR_WIDTH 32

Slot 5 :

Enable Synchronizer C_SLOT_5_FIFO_ENABLE 1

TUSER Width C_SLOT_5_AXIS_TUSER_WIDTH 1

AXI Performance Monitor v5.0 61PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

TDEST Width C_SLOT_5_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_5_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_5_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_5_AXI_PROTOCOL AXI4

ID Width C_SLOT_5_AXI_ID_WIDTH 1

Data Width C_SLOT_5_AXI_DATA_WIDTH 32

Address Width C_SLOT_5_AXI_ADDR_WIDTH 32

Slot 4 :

Enable Synchronizer C_SLOT_4_FIFO_ENABLE 1

TUSER Width C_SLOT_4_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_4_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_4_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_4_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_4_AXI_PROTOCOL AXI4

ID Width C_SLOT_4_AXI_ID_WIDTH 1

Data Width C_SLOT_4_AXI_DATA_WIDTH 32

Address Width C_SLOT_4_AXI_ADDR_WIDTH 32

Slot 3 :

Enable Synchronizer C_SLOT_3_FIFO_ENABLE 1

TUSER Width C_SLOT_3_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_3_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_3_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_3_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_3_AXI_PROTOCOL AXI4

ID Width C_SLOT_3_AXI_ID_WIDTH 1

Data Width C_SLOT_3_AXI_DATA_WIDTH 32

Address Width C_SLOT_3_AXI_ADDR_WIDTH 32

Slot 2 :

Enable Synchronizer C_SLOT_2_FIFO_ENABLE 1

TUSER Width C_SLOT_2_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_2_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_2_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_2_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_2_AXI_PROTOCOL AXI4

ID Width C_SLOT_2_AXI_ID_WIDTH 1

Data Width C_SLOT_2_AXI_DATA_WIDTH 32

Address Width C_SLOT_2_AXI_ADDR_WIDTH 32

Slot 1 :

Enable Synchronizer C_SLOT_1_FIFO_ENABLE 1

TUSER Width C_SLOT_1_AXIS_TUSER_WIDTH 1

表 4-1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

AXI Performance Monitor v5.0 62PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

TDEST Width C_SLOT_1_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_1_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_1_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_1_AXI_PROTOCOL AXI4

ID Width C_SLOT_1_AXI_ID_WIDTH 1

Data Width C_SLOT_1_AXI_DATA_WIDTH 32

Address Width C_SLOT_1_AXI_ADDR_WIDTH 32

Slot 0 :

Enable Synchronizer C_SLOT_0_FIFO_ENABLE 1

TUSER Width C_SLOT_0_AXIS_TUSER_WIDTH 1

TDEST Width C_SLOT_0_AXIS_TDEST_WIDTH 1

TID Width C_SLOT_0_AXIS_TID_WIDTH 1

TDATA Width C_SLOT_0_AXIS_TDATA_WIDTH 32

AXI Protocol C_SLOT_0_AXI_PROTOCOL AXI4

ID Width C_SLOT_0_AXI_ID_WIDTH 1

Data Width C_SLOT_0_AXI_DATA_WIDTH 32

Address Width C_SLOT_0_AXI_ADDR_WIDTH 32

Metric Counters Scaling C_METRIC_COUNT_SCALE 1

Global Clock Count Width C_GLOBAL_COUNT_WIDTH 32

Number of Counters C_NUM_OF_COUNTERS 1

Enable Event Counters C_ENABLE_EVENT_COUNT 1

Number of Monitor Slots C_NUM_MONITOR_SLOTS 1

Is Event Log FIFO Synchronous C_FIFO_AXIS_SYNC 0

Show AXI IDs In Log Data C_SHOW_AXI_IDS 0

Show AXI Transaction Length in Log Data C_SHOW_AXI_LEN 0

Show AXI Streaming TID In Log Data C_SHOW_AXIS_TID 0

Show AXI Streaming TDEST C_SHOW_AXIS_TDEST 0

Show AXI Streaming TUSER C_SHOW_AXIS_TUSER 0

Enable External Events ENABLE_EXT_EVENTS 0

Enable External Triggers ENABLE_EXT_TRIGGERS 0

Advanced C_ENABLE_ADVANCED 1

Profile C_ENABLE_PROFILE 0

Trace C_ENABLE_TRACE 0

Write Address Flag C_EN_WR_ADD_FLAG 1

First Write Flag C_EN_FIRST_WRITE_FLAG 1

Last Write Flag C_EN_LAST_WRITE_FLAG 1

Write Response Flag C_EN_RESPONSE_FLAG 1

Read Address Flag C_EN_RD_ADD_FLAG 1

First Read Flag C_EN_FIRST_READ_FLAG 1

Last Read Flag C_EN_LAST_READ_FLAG 1

表 4-1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

AXI Performance Monitor v5.0 63PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

コアへの制約

こ こでは、 Vivado® Design Suite を使用してコアに制約を指定する方法について説明します。

<Project_name>/<project_name>.srcs/source_1/ip/<component_name>/ ディ レク ト リに次の制約ファイルがあ り ます。

• <component_name>.xdc

• <component_name>_clocks.xdc

その他に、 out-of-context (OOC) モード用の次の制約も提供しています。

<component_name>_ooc.xdc

必須の制約

このコアには、 必須となる制約はあ り ません。

デバイス、 パッケージ、 スピード グレードの選択

このコアには、 デバイス、 パッケージ、 またはスピード グレードに関する制約はあ り ません。

クロック周波数

同期モードの場合、 すべてのクロ ッ クが同じソースから供給され、 同じ周波数で動作します。 このデザインには、マルチサイクル パスやフォルス パスはあ り ません。 非同期モードの場合、 対応するク ロ ッ クは互いに非同期と して扱われ、 コアが適切なクロ ッ ク ド メ イン ク ロ ッシング制約を適用します。

クロック管理

このコアには、 ク ロ ッ ク管理の制約はあ り ません。

クロック配置

このコアには、 ク ロ ッ ク配置の制約はあ り ません。

External Events Flag C_EN_EXT_EVENTS_FLAG 0

Sw Register Write Flag C_EN_SW_REG_WR_FLAG 0

Enable Trigger Logic C_EN_TRIGGER 0

Enable Address Flags C_EN_AXI_DEBUG 0

注記 :1. パラ メーター値については、 GUI パラ メーター値とユーザー パラ メーター値の異なるものを示しています。 これらの値は、 パ

ラ メーター名の下に字下げして表記しています。

表 4-1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

AXI Performance Monitor v5.0 64PG037 2015 年 11 月 18 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

バンク設定

このコアには、 バンクの制約はあ り ません。

ト ランシーバーの配置

このコアには、 ト ランシーバーの配置の制約はあ り ません。

I/O 規格と配置

このコアには、 I/O の制約はあ り ません。

シミ ュレーシ ョ ン

このセクシ ョ ンでは、 Vivado® Design Suite での IP シ ミ ュレーシ ョ ンについて説明します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 4] を参照してください。

重要 : 7 シ リーズまたは Zynq-7000 デバイスをターゲッ トにしたコアでは、 UNIFAST ライブラ リはサポート されません。 ザイ リ ンクスの IP は UNISIM ラ イブラ リでのみテス ト と認定が行われています。

合成およびインプリ メンテーシ ョ ン

このセクシ ョ ンでは、 Vivado® Design Suite での合成およびインプリ メンテーシ ョ ンについて説明します。

合成およびインプ リ メンテーシ ョ ンの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] を参照してください。

AXI Performance Monitor v5.0 65PG037 2015 年 11 月 18 日 japan.xilinx.com

第 5 章 : サンプル デザイン

第 5 章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

概要

最上位モジュールは、 このコアおよびサンプル デザインをハード ウェアに実装するために必要なすべてのコンポーネン ト をインスタンシエート しています (図 5-1)。 こ こには、 ク ロ ッ ク ジェネレーター、 レジスタ設定、 データ ジェネレーター、 データ チェッカー モジュールが含まれます。

このサンプル デザインは、 DUT の AXI4-Lite、 AXI4、 および AXI4-Stream インターフェイスで ト ランザクシ ョ ンを実行し、 APM で算出されたメ ト リ ッ クを評価するためのデザインです。

• Clock Generator : ク ロ ッ クは、 このクロ ッ ク ジェネレーター モジュールで生成されます。

• AXI4-Lite Source : このモジュールは、 「プログラ ミ ング シーケンス」 で説明したとおりに、 DUT のレジスタを設定します。 メ ト リ ッ ク カウンターを読み出して、 AXI4 で生成されたスティ ミ ュ ラスからの期待値と照合します。

• AXI4 Master : 規定の長さ とバース ト サイズで AXI4 のフル ト ラフ ィ ッ クを生成します。

• AXI4 BRAM : このモジュールは、 AXI4 Master に対するスレーブです。

• AXI4 Streaming Master : 既知のパケッ ト数で AXI4-Stream ト ランザクシ ョ ンを生成します。

• AXI4 Streaming Slave : このモジュールは、 AXI4-Stream Master ト ランザクシ ョ ンで必要な TREADY 信号を生成します。

X-Ref Target - Figure 5-1

図 5-1 : サンプル デザインのブロック図

DUTAXI4 – LiteSource

AXI4 Master

AXI4 -Streaming

Master

APM Log

AXI4

AXI4 -

clk

resetn

status

X13619

AXI Performance Monitor v5.0 66PG037 2015 年 11 月 18 日 japan.xilinx.com

第 5 章 : サンプル デザイン

• APM Log Data Checker : イベン ト ログ モードおよび Trace モードの場合に、 AXI4-Stream インターフェイスで受信したデータをチェッ ク します。

サンプル デザインの実装

第 3 章 「コアを使用するデザイン」 で説明した手順を実行後、 次の手順に従ってサンプル デザインを実装します。

1. [Hierarchy] ウ ィンド ウでコアを右ク リ ッ ク し、 [Open IP Example Design] をク リ ッ ク します。

2. 新し く開いたウ ィンド ウで、 サンプル デザインのディレク ト リ を指定します。 デフォルトのディ レク ト リのままにするか、 別のディ レク ト リ を選択してください。

3. 選択したディ レク ト リに新しいプロジェク トが自動的に作成され、 新しい Vivado IDE ウ ィンド ウでプロジェクトが開きます。

4. Flow Navigator (左側のペイン) で [Run Implementation] をク リ ッ ク し、 指示に従います。

サンプル デザインのディレク ト リ構造

現在のプロジェク ト ディレク ト リに <component_name>_example とい う名前の新しいプロジェク トが作成され、ファ イルが <component_name>_example.src/sources_1/ip/<component_name>/ ディ レク ト リに生成されます。 AXI Performance Monitor のサンプル デザイン作成に必要なソース ファ イルは、 すべてこのディ レク ト リ とそのサブディ レク ト リにあ り ます。

Example_design のディ レク ト リは、 <component_name>_example.src/sources_1/imports/<component_name> に作成されます。 このディ レク ト リには、 次に示す生成されたサンプル デザインの最上位ファイルが含まれます。

• <Component_name>_exdes.xdc : サンプル デザインの最上位制約ファイルです。 KC705 ボードサンプル デザインが設定される と、 この XDC ファ イルが生成されます。 I/O 制約は、 デフォルトではコ メン ト アウ ト されています。 KC705 ボードにサンプル デザインを実装する前にこれらをアンコ メン ト して ください。

• <Component_name>_exdes.v : サンプル デザインの最上位 HDL ファ イルです。

• Apm_log_data.v : イベン ト ログ チェッカー モジュールです。

• clock_gen.v : ク ロ ッ ク生成モジュールです。

AXI Performance Monitor v5.0 japan.xilinx.com 67PG037 2015 年 11 月 18 日

第 6 章 : テストベンチ

第 6 章

テストベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

サンプル デザインの生成済みテス ト ベンチ ファ イルは、<Component_name>/simulation ディ レク ト リにあ り ます。 AXI Performance Monitor のテス ト ベンチは、最上位ブロ ッ クに 200Mhz ク ロ ッ クを生成し、サンプル デザインのステータス出力に基づいて、 テス トの合格/不合格を示すメ ッセージを表示します。 図 6-1 に、 サンプル デザイン テス ト ベンチのブロ ッ ク図を示します。

サンプル デザインのシミ ュレーシ ョ ンAXI Performance Monitor のサンプル デザイン (AXI Performance Monitor に付属) を使用するこ とで、AXI PerformanceMonitor コアの動作を簡単にシ ミ ュレーシ ョ ンして、 評価できます。 Vivado Design Suite 環境でのコア シ ミ ュレーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 6] を参照してください。

このセクシ ョ ンでは、AXI Performance Monitor サンプル デザインを使用した論理ミ ュレーシ ョ ンの実行手順を説明します。 サンプル デザインでは、 論理 (ビヘイビアー ) シ ミ ュレーシ ョ ンと合成後のシ ミ ュレーシ ョ ンが可能です。

• 論理シ ミ ュレーシ ョ ンを実行するには、 Flow Navigator (左側のペイン) で [Run Simulation] をク リ ッ ク して、 [RunBehavioral Simulation] をク リ ッ ク します。

• 合成後シ ミ ュレーシ ョ ンを実行するには、Flow Navigator (左側のペイン) で [Run Simulation] をク リ ッ ク して、[RunPost-Synthesis Functional Simulation] をク リ ッ ク します。

X-Ref Target - Figure 6-1

図 6-1 : サンプル デザイン テスト ベンチのブロック図

apm_tb_top

Clock & Reset Generator

Example design

Test Status Checker

clk

clk_n

reset

status

done

Clock and Reset Generator

Test Status Checker

Example Design

AXI Performance Monitor v5.0 japan.xilinx.com 68PG037 2015 年 11 月 18 日

第 6 章 : テストベンチ

シ ミ ュレーシ ョ ン結果

シ ミ ュレーシ ョ ン スク リプ トは、 まず AXI Performance Monitor サンプル デザインおよび関連するシ ミ ュレーシ ョ ンファ イルをコンパイルします。 次にシ ミ ュレーシ ョ ンを実行し、 問題なく完了しているこ とを確認します。

テス トに失敗する と、 次のメ ッセージが表示されます。

Test Failed

テス トに合格する と、 次のメ ッセージが表示されます。

Test Completed Successfully

AXI Performance Monitor v5.0 69PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 A : 移行およびアップグレード

付録 A

移行およびアップグレードこの付録には、 ISE® から Vivado® Design Suite へデザインを移行する際の情報、 および最新版 IP コアへのアップグレードに関する情報が記載されています。 Vivado Design Suite でアップグレードする場合のポート変更およびユーザー ロジッ クへの影響といった重要な情報もこ こに記載されています。

Vivado Design Suite への移行

Vivado Design Suite への移行方法については、『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 10] を参照してください。

Vivado Design Suite でのアップグレード

このセクシ ョ ンでは、 Vivado Design Suite でこの IP コアの最新版にアップグレードする際の、 ユーザー ロジッ クおよびポートの変更について説明します。

パラメーターの変更点 今回のリ リースでは、 新しいパラ メーターが追加されています。 これらのパラ メーターについては、 表 4-1 に記載しています。

ポートの変更点

今回のリ リースでは、 ト リガー機能をサポートするために 2 つのポートが追加されています。 これらの新しいポートについては、 表 2-2 に記載しています。

AXI Performance Monitor v5.0 70PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 B : デバッグ

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソース情報を紹介し、 AXI Performance Monitor コアを使用するデザインをデバッグする際に利用できるデバッグ ツールおよびデバッグ手順について説明しています。

ザイリンクス ウェブサイ ト

AXI Performance Monitor を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクスのサポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは AXI Performance Monitor コアに関する主要資料です。 このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (http://japan.xilinx.com/support) またはザイ リ ンクスの Documentation Navigator から入手できます。

Documentation Navigator は、 ダウンロード ページの [デザイン ツール] タブからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照して ください。

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート をザイリ ンクス サポート ウェブ ページで提供しています。 ただし、 次に該当する場合、 ザイ リ ンクスはタイ ミ ング、 機能、 サポート を保証できません。

• 資料で定義されていないデバイスにインプリ メン ト した場合

• 資料で定義されている許容範囲を超えてカスタマイズした場合

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合

ザイ リ ンクスでは、 さ らにヘルプが必要なカスタマーに対して、 テクニカル サポート を提供しています。

ザイ リ ンクス テクニカル サポートへは、 ザイ リ ンクスのサポート ウェブ ページからアクセスできます。

AXI Performance Monitor コアに関するマスター アンサー

AR 54442

AXI Performance Monitor v5.0 71PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 B : デバッグ

デバッグ ツール

AXI Performance Monitor デザインの問題に対応できるツールは多数あ り ます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado Design Suite のデバッグ機能

Vivado® Design Suite のデバッグ機能では、ユーザー デザインに Logic Analyzer および Virtual I/O コアを直接挿入します。 デバッグ機能を使用する と、 ト リガー条件を設定して、 ハード ウェアでアプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号を取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は、 次の LogiCORE IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

ハードウェア デバッグ

ハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。

これらの一般的な問題は、 デザイン シ ミ ュレーシ ョ ンのデバッグにも適用されます。 特に、 次の内容について詳しく説明します。

• 一般的なチェッ ク

• コアに関するチェッ ク

一般的なチェ ック

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、 さ らにインプリ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• 配置配線後のタイ ミ ング シ ミ ュレーシ ョ ンで正し く動作しているかを確認します。 タイ ミ ング シ ミ ュレーシ ョンでは発生しない問題がハードウェアで発生する場合、 PCB の問題である可能性があ り ます。 すべてのクロ ック ソースがアクティブでク リーンであるこ とを確認してください。

• デザインで MMCM を使用している場合、 locked ポート をモニターして、 すべての MMCM がロ ッ ク しているこ とを確認します。

コアに関するチェ ック

さ らなるデバッグ プロセスへ進むために次の項目をチェッ ク します。

• パラ メーター設定で、 メ ト リ ッ ク カウンターやイベン ト ログ機能が有効になっているこ とを確認します。

• 第 3 章の 「プログラ ミ ング シーケンス」 で説明したとおりにソフ ト ウェアが設定されているこ とを確認します、

• メ ト リ ッ ク カウン トやイベン ト ログが 0 に近づいている場合、 モニター スロ ッ ト インターフェイスがアクティブ状態であるこ とを確認します。

AXI Performance Monitor v5.0 72PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 B : デバッグ

インターフェイスのデバッグ

AXI4-Lite インターフェイス

任意の読み出し /書き込みレジスタに既知の値を書き込み、 その後、 レジスタから値を リードバッ ク します。 値が一致しない場合は、 次の条件が満たされているかを確認します。

• S_axi_aclk が接続され、 ト グルしている。

• インターフェイスが リセッ ト状態に保持されておらず、 s_axi_aresetn がアクティブ Low のリセッ トであるこ とを確認します。

• メ インのコア ク ロ ッ クが ト グルしているこ とを確認します。

• シ ミ ュレーシ ョ ンが実行されている場合は、 波形が AXI4-Lite インターフェイスへのアクセスに適しているこ とを確認します。

AXI4-Stream インターフェイス

データが送信または受信されていない場合は、 次を確認します。

• M_axis_tvalid 入力がアサート された後、 送信の m_axis_tready が Low のままになる場合、 コアはデータを送信できません。

• 受信の m_axis_tvalid が Low のままになる場合、 コアはデータを受信しません。

• m_axis_aclk 入力が接続されており、 ト グルしているこ とを確認します。

• 第 3 章の 「プログラ ミ ング シーケンス」 の説明に従って、 イベン ト ログ データ用のコア コンフ ィギュレーシ ョ ンを確認します。

AXI Performance Monitor v5.0 73PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 C : その他のリソースおよび法的通知

付録 C

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

1. 『ARM AMBA AXI4 Protocol Version:2.0 Specification』

2. 『AMBA AXI4-Stream Protocol Version:1.0 Specification』

3. 『7 シ リーズ FPGA 概要』 (DS180 : 英語版、 日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896 : 英語版、 日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910 : 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900 : 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904 : 英語版、 日本語版)

8. 『Vivado Design Suite AXI リ ファレンス ガイ ド』 (UG1037)

9. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994 : 英語版、日本語版)

10. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911 : 英語版、 日本語版)

11. 『ザイ リ ンクス ソフ ト ウェア開発キッ ト : システム性能』 (UG1145)

12. 『All Programmable SoC のシステム性能解析』 (XAPP1219)

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2015 年 11 月 18 日 5.0 • UltraScale+ アーキテクチャ ベース デバイスのサポート を追加。

2015 年 4 月 1 日 5.0 • 64 ビッ ト アドレス幅のサポート強化。

• AXI デバッグ機能およびト リガー機能を追加。

AXI Performance Monitor v5.0 74PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 C : その他のリソースおよび法的通知

2014 年 11 月 19 日 5.0 • Profile モードでの ID フ ィルター機能の詳細説明を追加。

• 「サポート されていない機能」 のレイテンシ測定について詳細説明を追加。

2014 年 6 月 4 日 5.0 メ ト リ ッ ク カウンター 48 ~ 63 およびサンプル メ ト リ ッ ク カウンター 48 ~ 63 を追加。

2014 年 4 月 2 日 5.0 • AXI4-Lite インターフェイスのモニタ リ ング機能のサポート を追加。

• 「最大周波数」 および 「リ ソース使用状況」 を更新。

• Profile モードおよび Trace モードの場合のみ適用されるコア レジスタにマークを付ける。

• 「コアのカスタマイズおよび生成」、 「制約」、 「シ ミ ュレーシ ョ ン」、 および 「合成」 に関する情報を 「デザイン フローの手順」 の章に統合。

2013 年 12 月 18 日 5.0 UltraScale™ アーキテクチャのサポート を追加

2013 年 10 月 2 日 5.0 • 3 つの動作モードを作成

° Advanced モード

° Profile モード

° Trace モード

• 「サンプル デザイン」 および 「テス トベンチ」 の章を追加。

• Vivado IP インテグレーターのサポート を追加。

• 付録 「移行およびアップグレード」 の内容を変更。

2013 年 6 月 19 日 4.0 • コアのバージ ョ ン番号と一致するよ うに リ ビジ ョ ン番号を 4.0 に変更。

• 表 2-26 の制御レジスタの Metrics_Cnt_Reset ビッ トの説明を更新。

2013 年 3 月 20 日 5.0 • コアのバージ ョ ンを v4.0 にアップデート。

• Xilinx Platform Studio (XPS) のサポート を削除。

• レイテンシ ID レジスタ (LIDR) を追加。

2012 年 12 月 18 日 4.0 • コアを v3.00a に更新、 Vivado Design Suite を v2012.4 更新、 Xilinx Platform Studio (XPS) を v14.4 に更新。

• 外部ト リガー インターフェイスを追加。

• メ ト リ ッ ク カウン ト ログ イネーブル レジスタを追加。

• 付録 G 「デバッグ」 を追加。

2012 年 10 月 16 日 3.0 • 外部イベン ト ポート (2-7) を追加。

• 任意のスロ ッ ト と メ ト リ ッ クをいつでもキャプチャできるこ とを追加。

• ログ データ幅を削減するために、 イベン ト ログ データはコンフ ィギュレーシ ョ ンに基づいてキャプチャされる。

2012 年 7 月 25 日 2.0 Vivado Desing Suite のサポート を追加。 イベン ト ログ モジュールを追加し、イベン ト カウン ト ロジッ クが対称になるよ うに変更。

2012 年 5 月 22 日 1.1 Zynq-7000 のサポート を追加。

2012 年 4 月 24 日 1.0 初版

日付 バージョ ン 内容

AXI Performance Monitor v5.0 75PG037 2015 年 11 月 18 日 japan.xilinx.com

付録 C : その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ

リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助

的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ

ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製

品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ

ンクスの販売条件を参照してください。

© Copyright 2012–2015 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。