automated verification, no testbench rigorous testing ... · • sel –single event latch-up •...
TRANSCRIPT
![Page 1: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/1.jpg)
Copyright OneSpin Solutions 2015
Fo
rmal
Ve
rification
When Failure Is Not An Option
Automated Verification, No Testbench
Rigorous Testing, Maximizing Coverage,
Accelerated Implementation Flow
Verification for Safety & High Reliability
Raik Brinkmann
www.OneSpin-Solutions.com
![Page 2: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/2.jpg)
Copyright OneSpin Solutions 2015 2
Introduction to Safety Verification
![Page 3: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/3.jpg)
Copyright OneSpin Solutions 2015 3
Why Is This Important?Avoiding Catastrophes
Imagine the following headlines:
• Faulty Mars-Rover Leads To Billion $ Loss
• Death Could Have Been Prevented By Airbag –
Massive Callback By Supplier
• Increase of Road-Accidents Due To Faulty
Electronic Control Units
You don’t want to make these news!
![Page 4: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/4.jpg)
Copyright OneSpin Solutions 2015 4
Why do things break?
Systematic Errors
• Machine Errors– Synthesis bugs, ..
• Human Errors– Implementation bugs (protocol errors…)
– Design bugs (broken features, ..)
Design Process
Systematic Errors
All Devices
Minimize!
Physical Effects
Random Errors
Individual Devices
Safeguard!
Random Errors
• Hard Errors– Latch-ups, burnouts (stuck-at
faults)
• Soft Errors– Transients (glitches, bit-flips)
![Page 5: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/5.jpg)
Copyright OneSpin Solutions 2015 5
Why Now?
Random Error Probability
Critical F
unctionalit
y
IoT
Connected Devices
Driver Assistance
Lower Voltage
Smaller Geometries
IP Block Increase
Functional Safety & Standards
![Page 6: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/6.jpg)
Copyright OneSpin Solutions 2015 7
Outline
Introduction to Safety Verification
• Random Errors & Additional Safety Functions
• Safety Standards and Qualification
Requirements Based Verification
• Introduction to Requirements Based Verification
• Faster Quantification of Simulation Test-Benches
• Reliably Exposing Implementation Errors
• Reliable Quantification of Formal Assertion Sets
Verification of Safety Functions
• Efficiently Verifying Redundant Logic
Additional Concerns
• Swiftly Avoiding Coding Errors
• Easier Avoiding Synthesis Bugs
• Saving big on Safety Fault Qualification
![Page 7: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/7.jpg)
Copyright OneSpin Solutions 2015 8
Effects of Random Errors
• Random error events cannot be prevented
Eventually some normal design function gets corrupted
• However, potential error causes failure only if
– Error event leads to fault
– Fault is activated
– Fault propagates to observable point
– Fault is observed as erroneous data
• How to prevent problem?
Error/
Fault
Event
activate propagate
OutputInputObservation
of Faulty Behavior
Normal Design Function
Individual devices break in the field because of
Random Errors
Safeguard Against Random Errors by Design!
Failure
![Page 8: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/8.jpg)
Copyright OneSpin Solutions 2015 9
Random Errors
• Random Errors:
– Single bit logic errors, such as
• Stuck-at-0 / Stuck-at-1 fault
• Glitch or bit-flip
– Multiple bit logic errors
• Combinations of the above
• At multiple locations
• Examples:
– Radiation Induced Random Errors
– Hard Errors, such as
• SEL – Single Event Latch-Up
• SEB – Single Event Burnout
– Soft Errors, such as
• SEU - Single Event Upset
• SET – Single Event Transient
Single Event Upset (SEU) - a change of state or transient induced by an
energetic particle such as a cosmic ray or proton in a device. This may occur
in digital, analog, and optical components or may have effects in surrounding
interface circuitry (a subset known as Single Event Transients (SETs)). These
are "soft" errors in that a reset or rewriting of the device causes normal device
behavior thereafter.
Single Hard Error (SHE) - an SEU which causes a permanent change to the
operation of a device. An example is a stuck bit in a memory device.
Single Event Latchup (SEL) - a condition which causes loss of device
functionality due to a single event induced high current state. An SEL may or
may not cause permanent device damage, but requires power strobing of the
device to resume normal device operations.
Single Event Burnout (SEB) - a condition which can cause device destruction
due to a high current state in a power transistor.
Single Event Gate Rupture (SEGR) - a single ion induced condition in power
MOSFETs which may result in the formation of a conducting path in the gate
oxide.
Single Event Effect (SEE) - any measurable effect to a circuit due to an ion
strike. This includes (but is not limited to) SEUs, SHEs, SELs, SEBs, SEGRs,
and Single Event Dielectric Rupture (SEDR).
Multiple Bit Upset (MBU) - an event induced by a single energetic particle
such as a cosmic ray or proton that causes multiple upsets or transients during
its path through a device or system.
Linear Energy Transfer (LET) - a measure of the energy deposited per unit
length as a energetic particle travels through a material. The common LET
unit is MeV*cm2/mg of material (Si for MOS devices, etc...).
Threshold LET (LETth) - the minimum LET to cause an effect at a particle
fluence of 1E7 ions/cm2. Typically, a particle fluence of 1E5 ions/cm2 is used
for SEB and SEGR testing.
http://radhome.gsfc.nasa.gov/radhome/papers/seespec.htm
SINGLE EVENT EFFECTS SPECIFICATION
![Page 9: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/9.jpg)
Copyright OneSpin Solutions 2015 10
Safeguarding Against Random Errors
Faultactivate
propagateCorrected
OutputInput
observe
Normal Design Function
Safety FunctionFault Detection / Checkers
Fault H
an
dlin
g /
Redunda
ncy
Alarm
• Fault Detection by Checkers
– Raise alarm and enter safe mode (outside correction)
• Fault Handling by Redundancy
– Ensure safe operation on fault detection
– Correct erroneous data (self correction)
• Examples
– TMR, ECC, lock-step, FSM safe encoding
– Alarms and error management units
![Page 10: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/10.jpg)
Copyright OneSpin Solutions 2015 11
General Flow for High Reliability Applications
Establish project plans and standards
Allocate system functions to hardware
Create derived requirements
High level description of design
Define strategy for reliability
Identify major components
RTL Design, Compile
Synthesis and P & R
Timing Model
Generate bitstream file
Program Device /Generate Mask
11
Systematic errors introduced at each step
Prevent systematic errors by process
Random errors are introduced in the field
Safeguard against random errors by design
Reliability functions are tracked through complete flow
Planning
Requirements
Conceptual Design
Detailed Design
Implementation
![Page 11: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/11.jpg)
Copyright OneSpin Solutions 2015 12
Quantification & Qualification of Safety Measures
Functional Safety
Standards
DO254
Aerospace /Defense
IEC 61508
Automotive / ISO 26262
Ind. Process Control / IEC
61511
Machine Tooling / IEC
62061
Nuclear Power / IEC
61513/62138
Medical Devices / IEC 62404 / ISO
13485
Railway Transportation
/ EN 50128
Functional Safety Standards
Imply rigorous requirements on design and verification
Governed by strict rules, industry / domain specific
Failure to comply can result in harm to people, loss of business and prosecution
Quantification & Qualification demonstrate effectiveness of safety
measures and is required to meet safety standards.
![Page 12: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/12.jpg)
Copyright OneSpin Solutions 2015 13
Additional Effort for Functional Safety
Minimize Systematic Errors
Most Rigorous Verification
Quantification of Verification
Safeguard Random Errors
Additional Safety Functions
Qualification of Safety Faults
Time-to-Market & Budget!
Additional Effort
Functional Safety & Certification
![Page 13: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/13.jpg)
Copyright OneSpin Solutions 2015 14
How to get there?
• Minimizing Systematic Errors
• Safeguarding for Random Errors
• Qualification for Certification
Efficiently Supported by
Formal Verification!
![Page 14: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/14.jpg)
Copyright OneSpin Solutions 2015 15
Verification Concerns
RTL Code Quality
• Enforce best practices on coding
• Linting/DRC
• Formal AutoChecks
Requirements Based Verification
• Ensure requirements are met
• Simulation/emulation/prototyping
• Formal assertion based verification
• Ensure sufficient verification quality
• Quantitative analysis of verification environment
Implementation Verification
• Ensure design tools introduce no systematic errors
• Tool Certification
• Independent output assessment, e.g. equivalence checks
• Ensure safeguarding against random errors
• Qualification of safety faults
Focus
![Page 15: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/15.jpg)
Copyright OneSpin Solutions 2015 16
Introduction to
Requirements Based Verification
![Page 16: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/16.jpg)
Copyright OneSpin Solutions 2015 17
Generic Verification Flow
with Requirements Tracing
Requirements
Design
Verification
Quantitative Analysis
Report
Feedback
Requirements
![Page 17: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/17.jpg)
Copyright OneSpin Solutions 2015 18
Functional & Safety Requirements
Application Scenario: FIFO
The fifo is not full and empty at the same time
The fifo is empty after DEPTH many reads without
writes
The fifo is full after DEPTH many writes without reads
The fifo is no longer empty after a write
The first data written to an empty fifo leaves the fifo
unmodified on the first read
If no error occurs, nothing is flagged and the data is
uncorrupted
If one error occurs, no error is flagged, the data is uncorrupted and the correction is flagged
If two errors occur, an error is flagged, but no correction
Functional
Requirements
Safety
Requirements
![Page 18: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/18.jpg)
Copyright OneSpin Solutions 2015 19
Detailed Design
Application Scenario: FIFO
rd_en wr_en
wr_data rd_data
full empty
wr_ptrrd_ptr
Configurable synchronous FIFO buffer,
implemented by read and write pointers
module fifo #(
WIDTH = 8, DEPTH = 32)
(clk, reset_n,
wr_en, wr_data, full,
rd_en, rd_data, empty);
< ... >
logic [DEPTH-1:0][WIDTH-1:0] mem;
// FIFO memory
![Page 19: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/19.jpg)
Copyright OneSpin Solutions 2015 20
Detailed Design
Safeguarding a FIFO with ECC
• Safety Functions
– Detect 1-bit errors and correct them
– Detect 2-bit errors and raise alarm
• Design:
– Encoder adds e data bits stored in FIFO
– Decoder detects & corrects 1-bit faults on read(error=0, corrected=1)
– Decoder detects 2-bit faults on read (error=1)
encoder
w w+ewr_data rd_data
decoder
w+e rd_dataw
error
corrected
wr_data
rd_enwr_en
FIFO
fullempty
![Page 20: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/20.jpg)
Copyright OneSpin Solutions 2015 21
General Coverage Driven Test Framework
coverage metrics
stimulus generation checkers/assertions
plan
DUV
report
Coverage closes test requirements loop
![Page 21: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/21.jpg)
Copyright OneSpin Solutions 2015 22
Simple Simulation Based Verification Flow
• Requirement 1: R1– Test 1: R1.T1
– Test 2: R1.T2
– Test R1.T2
• Requirement 2: R2– Test 2: R2.T1
– Test 2: R2.T2
– ..
• …
Test PlanRequirements Based
`timescale 1ns/1ns
module TB_R1_T1_test ();
logic sim_okay = 1'b1;
wire clk;
wire rd_en;
TestingSimulation with Coverage
PASS / FAIL
Test Result
Quantitative Result
90%
SimulationCover Points
Line Coverage
Block Coverage
![Page 22: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/22.jpg)
Copyright OneSpin Solutions 2015 23
Application Scenario: FIFO
Functional Requirements
• check.not_full_and_empty_aThe fifo is not full and
empty at the same time:
• check.empty_after_DEPTH_reads_aThe fifo is empty after DEPTH many reads
without writes:
• check.full_after_DEPTH_writes_aThe fifo is full after
DEPTH many writes without reads:
• check.not_empty_after_write_aThe fifo is no longer empty after a write:
• check.first_data_not_corrupted_a
The first data written to an empty fifo leaves the fifounmodified on the first
read
![Page 23: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/23.jpg)
Copyright OneSpin Solutions 2015 24
Functional Verification
Create & Run Tests
Step 1:
Cover each requirement with more tests, according
to verification plan
Example: check.first_data_not_corrupted_a
”The first data written to an empty fifo leaves the FIFO
unmodified on the first read”
PASSTest Result:
![Page 24: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/24.jpg)
Copyright OneSpin Solutions 2015 25
Functional Verification
Measure Code Coverage
Step 2: Validate Test Bench
Quantify coverage on design.
Not Covered
86%Code Coverage Result:
Covered
![Page 25: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/25.jpg)
Copyright OneSpin Solutions 2015 26
Functional Verification
Measure Test Coverage
property data_not_corrupted_p;
reg[WIDTH-1:0] dat;
(empty & wr_en, dat=wr_data[WIDTH-1:0]) ##1
!rd_en[*0:$] ##1 rd_en |=> rd_data[WIDTH-1:0]==dat;
endproperty;
Step 3: Requirements based validation
Create cover points for each requirement!
Example: cover.first_data_not_corrupted_c
“The first data written to an empty fifo leaves the FIFO
unmodified on the first read”
COVER_PASSTest Coverage Result:
![Page 26: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/26.jpg)
Copyright OneSpin Solutions 2015 27
Application Scenario: FIFO
Coverage Result for Simulation
• check.not_full_and_empty_aThe fifo is not full and
empty at the same time:
• check.empty_after_DEPTH_reads_aThe fifo is empty after DEPTH many reads
without writes:
• check.full_after_DEPTH_writes_aThe fifo is full after DEPTH many writes without reads:
• check.not_empty_after_write_aThe fifo is no longer empty
after a write:
• check.first_data_not_corrupted_aThe first data written to an empty fifo leaves the fifo
unmodified on the first read
1. Write tests and cover points for requirements
2. Run tests through simulator
3. Fix any issues you find
4. Feed back coverage to requirements tool
Example:
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
86%
![Page 27: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/27.jpg)
Copyright OneSpin Solutions 2015 28
What can happen?
Tests fail?
• Debug and fix design
• Debug and fix tests
Code not reached / coverage too low?
• Add more tests
• Use Formal Unreachability App
• Create a coverage exclude
• Identify and delete redundant code
Cover points not hit?
• Implement more features
• Improve tests
Too hard to write tests?
• Add more people
• Use Formal Assertion Based Verification
• Cover more functionality in less time
![Page 28: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/28.jpg)
Copyright OneSpin Solutions 2015 29
Faster Qualification of Simulation Test-
Benches
Formal Exposes Unreachability
![Page 29: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/29.jpg)
Copyright OneSpin Solutions 2015 30
Potential Coverage Problem: Unreachable Code
• Use a formal unreachability app
• Unreachable:– Proves that code branch cannot be covered
– May create waivers for coverage tool
– Note: Careful! Unreachable code often points to DUT issue
• Reachable:– Shows simulation trace from reset where code branch gets
activated
– May help improve testbench for hard to reach corner cases
• Both can increase coverage!
case (state)
2'b00: nstate = 2'b01;
2'b01: nstate = 2'b11;
2'b10: nstate = 2'b00;
2'b11: if (ack)
nstate = 2'b10;
else
nstate = 2'b11;
endcase
Can this branch
be covered?
![Page 30: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/30.jpg)
Copyright OneSpin Solutions 2015 31
Unreachability
How is formal different?
reacheda) by simulation, orb) witness for an assertion or cover
property, orc) witness for statement reachability
(generated cover property)
unreachedNo simulation trace is available. Could be reachable or unreachable.
constraint
May exclude certain traces
constrained (unreachable)not controllable, no simulation trace exists under the given constraints, proven dead under constraints.
dead (unreachable)Not controllable, no simulation trace exists, proven dead.
Simulation Formal
![Page 31: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/31.jpg)
Copyright OneSpin Solutions 2015 32
Why Formal?
Only formal can prove the absence of something!
Unreachable Case
Condition
![Page 32: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/32.jpg)
Copyright OneSpin Solutions 2015 34
Application Scenario: FIFO
Coverage Result for Simulation
• check.not_full_and_empty_aThe fifo is not full and
empty at the same time:
• check.empty_after_DEPTH_reads_aThe fifo is empty after DEPTH many reads
without writes:
• check.full_after_DEPTH_writes_aThe fifo is full after DEPTH many writes without reads:
• check.not_empty_after_write_aThe fifo is no longer empty
after a write:
• check.first_data_not_corrupted_aThe first data written to an empty fifo leaves the fifo
unmodified on the first read
1. Run formal unreachability app
2. Inspect unreachable code
3. Delete redundant code or create waivers
4. Create testbench stubs for reachable but uncovered code
Example:
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
PASS, COVER_PASS
90%
![Page 33: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/33.jpg)
Copyright OneSpin Solutions 2015 35
Formal Unreachability App
Formal Check
RTL
Code
Witness
Proven
Unreachable
Simulation
Testbench
Stub
Simulation
Coverage
Exclude
HDL Simulator
OneSpin 360 DV
Cover Property /
Activation Check
Simulation
Coverage DB
Uncovered
Regions
Test Bench
![Page 34: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/34.jpg)
Copyright OneSpin Solutions 2015 36
Example: Quantitative Analysis of Simulation
Completeness in DO-254
Problem
• Insufficient code coverage during DO-254 elemental analysis prevents certification
• Example: Some branch is never executed because ‘ack’ cannot be asserted in context
Solution
• Automatic formal unreachability inspection automatically identifies problems
• Proven dead code can be documented or fixed to achieve certificate
Level A/B designs
governed by DO-254
Appendix B devise
coverage on sub-
functional level during
elemental analysis
…
case (state)
2'b00: nstate = 2'b01;
2'b01: nstate = 2'b11;
2'b10: nstate = 2'b00;
2'b11: if (ack)
nstate = 2'b10;
else
nstate = 2'b11;
endcase
…
![Page 35: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/35.jpg)
Copyright OneSpin Solutions 2015 37
Reliably Exposing Implementation Errors
Formal Assertion-Based Verification
![Page 36: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/36.jpg)
Copyright OneSpin Solutions 2015 38
Assertions
• Assertion:
– Statement of design intent that can be checked in dynamic simulation and formal
verification.(*)
• Examples:
– The FIFO must never be full and empty simultaneously
• Can be expressed in different languages: SVA, PSL, OVL, Verilog, VHDL, etc.
assertion
Active Pass Fail
clk
req
gnt
Active: Assertion is being examined currently
Pass: Simulation trace where assertion occurs as desired (covered)
Fail: Simulation trace where assertion is violated
Example: Any request is granted within 3 cycles
(*) Bailey, Martin, Anderson: Taxonomies for the Development and Verification of Digital Systems, Springer, 2005
![Page 37: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/37.jpg)
Copyright OneSpin Solutions 2015 39
Formal Assertion Based Verification
RTL Code
Assertions /
Constraints
Formal
Check
Assertion
exhaustively
proven
Counterexample
Debugging
Standard Formal ABV Flow
• Early: No stimuli or testbench is needed
• Efficient: Typically check-debug-fix in minutes
• Exhaustive: If assertion holds -> no simulation needed
![Page 38: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/38.jpg)
Copyright OneSpin Solutions 2015 40
Application Scenario: FIFO
Functional Requirements and Assertions
• check.not_full_and_empty_aThe fifo is not full and
empty at the same time:
• check.empty_after_DEPTH_reads_aThe fifo is empty after DEPTH many reads
without writes:
• check.full_after_DEPTH_writes_aThe fifo is full after DEPTH many writes without reads:
• check.not_empty_after_write_aThe fifo is no longer empty
after a write:
• check.first_data_not_corrupted_aThe first data written to an empty fifo leaves the fifo
unmodified on the first read
1. Write assertions for requirements
2. Run assertions through formal tool
3. Fix any issues you find
4. Feed back assertion coverage to requirements tool
Example:
FORMAL_PROOF
COVER_PASS
FORMAL_PROOF
COVER_PASS
FORMAL_PROOF
COVER_PASS
FORMAL_PROOF
COVER_PASS
FORMAL_FAILURE
COVER_PASS
![Page 39: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/39.jpg)
Copyright OneSpin Solutions 2015 41
Application Scenario: FIFO
Failing Assertion Exposes RTL Bug
B
U
G
Covered in Simulation
![Page 40: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/40.jpg)
Copyright OneSpin Solutions 2015 42
Reliable Quantification of Formal Assertion
Sets
Coverage Reloaded
![Page 41: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/41.jpg)
Copyright OneSpin Solutions 2015 43
Quantification of Verification
to Qualify Verification Environment
Functional Verification
Simulation / Constraint Random
/ Emulation
Formal Assertion Based Verification
• How to know if good enough?
• How to demonstrate that?
Two Dimensions of Quantification:
• Activation of Functionality
• Observation of Functionality
Quantification of Input Quality
Quantification of Checker Quality
Note: We use activation / reachability synonymously…
Qualification according to Safety Standards
![Page 42: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/42.jpg)
Copyright OneSpin Solutions 2015 44
Observation Coverage Principle
• Has the statement been activated?
• Idea:
– If a statement has not been
activated during verification, it can’t
break a check.
– If a statement has been reached,
would some check fail?
• Can measure quality of stimulus.
case (state)
…
burst:
if (cancel_i)
done_o <= 1
…
active
case (state)
…
burst:
if (cancel_i)
done_o <= v
…
modify
• Has the effect been observed?
• Idea:
If a statement is modified and
activated, some check should fail.
But would some check fail, if the
statement cannot be reached?
• Can measure quality of checkers.
Been there! Done that!
Example: Statement Coverage
Coverage
Activation Observation
Been there! Done that!
![Page 43: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/43.jpg)
Copyright OneSpin Solutions 2015 45
Quantitative Analysis of Verification
Activation Coverage:
• Focused on quality of stimuli
• But what about the checkers?
coverage metrics
stimulus checkers/assertions
plan
DUV
report
How good are mytest vectors & constraints?
How good are my
checkers and
assertions?
How much of my DUV is verified?When is my verification finished?
Observation Coverage:
• Focused on quality of checkers
• Exposes unverified DUV parts
Quantification of verification is required to meet for safety standards!
![Page 44: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/44.jpg)
Copyright OneSpin Solutions 2015 46
Cone-of-Influence Coverage
A
Assertion A
DUV
B
Covered by
COI of A
![Page 45: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/45.jpg)
Copyright OneSpin Solutions 2015 47
Observation Coverage Principle
Simple Example
module select1(onehot, a, b, c, z, clk, reset);
input clk;
input reset;
input [2:0] i;
input a;
input b;
input c;
output reg z;
always @(posedge clk or posedge reset)
if (reset)
z <= 1'b0;
else
begin
case (i)
3'b001: z <= a;
3'b010: z <= b;
3'b100: z <= c;
default: z <= 1'b1;
endcase
end
// if there is no reset, then 'a' is stored in 'z' if ‘i' is 3'b001
A: assert property
( @(posedge clk)
disable iff (reset)
i == 3'b001 |=> z == $past(a)
);
endmodule
Blo
ck S
tru
ctu
reA
ssert
ion
3'b001: z <= a;
Take a statement like this:
Change it slightly
3'b001: z <= ??;
This assertion should trigger
A problem in this statement
will be detected during
verification
However
A lot depends on what change is
made to the input and how the
coverage is processed:
Quantify Technology
![Page 46: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/46.jpg)
Copyright OneSpin Solutions 2015 48
Comparing Coverage Results
• All Assertions:
– COI Coverage: 100%
– Quantify Coverage: 70%
• Single Assertion: first_data_not_corrupted_a:
– COI Coverage: 100%
– Quantify Coverage: 20%
property data_not_corrupted_p;
reg[WIDTH-1:0] dat;
(empty & wr_en, dat=wr_data[WIDTH-1:0]) ##1
!rd_en[*0:$] ##1 rd_en |=> rd_data[WIDTH-1:0]==dat
|| (!full | empty);
endproperty;
This looks fishy!
![Page 47: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/47.jpg)
Copyright OneSpin Solutions 2015 49
Comparing Coverage Results
• All Assertions:
– COI Coverage: 100%
– Quantify Coverage: 70%
• Single Assertion: first_data_not_corrupted_a:
– COI Coverage: 100%
– Quantify Coverage: 20%
property data_not_corrupted_p;
reg[WIDTH-1:0] dat;
(empty & wr_en, dat=wr_data[WIDTH-1:0]) ##1
!rd_en[*0:$] ##1 rd_en |=> rd_data[WIDTH-1:0]==dat
|| (!full | empty); // bad
endproperty;BAD
This looks fishy!
![Page 48: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/48.jpg)
Copyright OneSpin Solutions 2015 50
Stronger Assertion Exposes Bug
Don‘t trust the COIs!
![Page 49: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/49.jpg)
Copyright OneSpin Solutions 2015 51
Prover Coverage is not objective!
Not covered what the prove engine did not need
Corresponds to abstractions inside prove engines
Each prove engine uses different abstractions
Better prove engines give lower coverage!
A
Assertion A
DUV
B
COI of ANot covered due to
Prover Abstraction
covered
Not covered
Fishy!
![Page 50: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/50.jpg)
Copyright OneSpin Solutions 2015 52
Quantitative Analysis for Safety Standards
Comparison of Coverage Metrics
Cone-of-Influence (COI) Coverage
• Good to spot big gaps quickly
• Too coarse for sign-off
Prover Coverage
• Result depends on selected prove engine
• Not objective
Mutation Coverage
• High run time (one fault at a time)
• Intrusive, cannot cover all locations
Formal Observation Coverage
• Not intrusive, and objective
• Faster execution (parallel fault injection)
Disqualified
for Safety!
Qualified
Best for Safety
Don‘t trust the COIs! They are fishy.
![Page 51: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/51.jpg)
Copyright OneSpin Solutions 2015 53
Quantification of Formal Verification Environment
in ISO-26262
Problem
• Quantitative assessment of formal verification environment needed
• Example: Qualify verification environment for safety functions
Solution
• Use observation coverage to identify coverage holes
• Integrate coverage results with simulation coverage
verification
hole
verifie
d
code
constrain
ed code
dea
d
cod
e
Statistic
overview
Example:
“Formal Safety Verification With Qualified Property Sets”
Holger Busch at DAC’14 in Accelerating Productivity
Through Formal and Static Methods, Session 38.3
![Page 52: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/52.jpg)
Copyright OneSpin Solutions 2015 54
Using Quantify Observation Coverage
Assertion Development & Quantification
Design #Code Lines #Assertions Runtime
FIFO 321 30 100s
FSM-DDR2-Read 839 6 106s
vCore-Processor 295 8 204s
Arithmetic Block 383 2 257s
• Push-Button solution
• Unique patented technology
• Much more accurate than cone analysis
• Used by multiple customers on their most critical IP
Real example at Infineon:
Quantify identified verification holes and
guided assertion development.
New assertions detected critical bugs.
Quantify now used to provide
management metrics on all designs!
Interactive use
on single
modules to
improve
verification
Technology discussed by an end-user at a Test and
Verification Seminar, UK, Nov 2013:
http://testandverification.com/DVClub/18_Nov_2013/Infineon-
HolgerBusch.pdf
Design #Code Lines #Assertions
IFX-Aurix-1 25563 85
IFX-Aurix-2 27374 157
IFX-Aurix-3 57253 253
![Page 53: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/53.jpg)
Copyright OneSpin Solutions 2015 55
Verification of Safety Functions
![Page 54: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/54.jpg)
Copyright OneSpin Solutions 2015 56
Safeguarding Against Random Errors
Faultactivate
propagateCorrected
OutputInput
observe
Normal Design Function
Safety FunctionFault Detection / Checkers
Fault H
an
dlin
g /
Redunda
ncy
Alarm
• Fault Detection by Checkers
– Raise alarm and enter safe mode (outside correction)
• Fault Handling by Redundancy
– Ensure safe operation on fault detection
– Correct erroneous data (self correction)
• Examples
– TMR, ECC, lock-step, FSM safe encoding
– Alarms and error management units
![Page 55: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/55.jpg)
Copyright OneSpin Solutions 2015 57
Efficient Verification of Safety Functions
Fault Injection complexity for wd data bits and we redundant bits:• 2wd possible data input combinations• (wd+we) 1-bit errors• ((wd+we)* (wd+we-1)) 2-bit errors
Simulation Based Verification is not a good solution:• Hard to anticipate all relevant conditions• Inefficient on large detailed requirement sets• Hard to deal with huge number of faults + combinations!• No exhaustive testing feasible
Safety Verification Problem
• Safety functions are inactive
under normal operation!
• Artificially inject faults into
verification to activate
Formal ABV with fault injection
![Page 56: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/56.jpg)
Copyright OneSpin Solutions 2015 58
How to formally verify?
Methodology
• Capture expected behavior in SV assert properties
• Capture constraints as SV assume properties
• Inject different faults, depending on property to verify
Advantages
• No knowledge of ECC algorithm needed for verification
• High number of parameter and inputs handled
• Easy to specify behavior and inject faults
• Can handle huge number of combinations for potential faults
Formal Assertion Based Verification of
Error Correcting Safety Functions
Encoder
Channel /
Device
Decoder
Data Sink
Data Source
Error
Model
All Possible Patterns
Considered
All Possible
Locations
Considered
Expected
Behavior
Easy to Capture
with Simple
Assertions
![Page 57: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/57.jpg)
Copyright OneSpin Solutions 2015 59
Detailed Design
Safeguarding a FIFO with ECC
• Safety Functions
– Detect 1-bit errors and correct them
– Detect 2-bit errors and raise alarm
• Design:
– Encoder adds e data bits stored in FIFO
– Decoder detects & corrects 1-bit faults on read(error=0, corrected=1)
– Decoder detects 2-bit faults on read (error=1)
encoder
w w+ewr_data rd_data
decoder
w+e rd_dataw
error
corrected
wr_data
rd_enwr_en
FIFO
fullempty
![Page 58: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/58.jpg)
Copyright OneSpin Solutions 2015 60
encoder
w w+ewr_data rd_data
decoder
w+e rd_dataw
error
corrected
wr_data
rd_enwr_en
FIFO
fullempty
Formal ABV with Fault Injection
Application Scenario: FIFO
• General Idea:
– Capture safety requirements using assertions
– Inject faults where they can be observed
– Depending on fault to be modeled, assume that this input is different from
functional value, e.g. Stuck-at 0, stuck-at 1, negated, …, connected
• For FIFO Example:
– Inject Bit-Flip faults at SRAM output
Inject Faults Here!
![Page 59: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/59.jpg)
Copyright OneSpin Solutions 2015 61
Application Scenario: FIFO
Safety Requirements and Assertions
• safety.no_errorIf no error occurs, nothing is flagged and the data is
uncorrupted
• safety.corrected_no_error
If one error occurs, no error is flagged, the data is uncorrupted and the correction is flagged
• safety.errorIf two errors occur, an error is flagged, but no correction
![Page 60: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/60.jpg)
Copyright OneSpin Solutions 2015 62
No error nothing flagged, data uncorrupted:
One error no error flagged, data uncorrupted, correction flagged:
Two errors error flagged, no correction flagged:
no_error: assert property (disable iff (!reset_n)
empty & wr_en ##1 rd_en
|=> rd_data == $past(wr_data,2) & !rd_error & !rd_corrected);
corrected_no_error: assert property (disable iff (!reset_n)
empty & wr_en ##1 rd_en
|=> rd_data == $past(wr_data,2) & !rd_error & rd_corrected);
error: assert property (disable iff (!reset_n)
empty & wr_en ##1 rd_en
|=> rd_error && !rd_corrected);
Application Scenario: FIFO
System Verilog Assertions for Safety Features
![Page 61: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/61.jpg)
Copyright OneSpin Solutions 2015 63
encoder
w w+ewr_data rd_data
decoder
w+e rd_dataw
error
corrected
wr_data
rd_enwr_en
FIFO
fullempty
Application Scenario: FIFO
Fault Injection
• General Idea:
– Inject faults where they can be observed
– Depending on fault to be modeled, assume that this input is different from
functional value, e.g. Stuck-at 0, stuck-at 1, negated, …, connected
• For FIFO Example:
– Inject Bit-Flip faults at SRAM output
Inject Faults Here!
![Page 62: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/62.jpg)
Copyright OneSpin Solutions 2015 64
Fault Injection App for Formal ABV
• Conveniently use a Fault Injection App:
• User can automatically enable different number/kind of faults for
individual assertions
• Possible to verify generic assertions like “a 2-bit fault gets
detected” for any 2-bit fault by using automated fault injection app
with n=2
App
Fault candidate bits
Formal setup for n-bit faults of desired type
![Page 63: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/63.jpg)
Copyright OneSpin Solutions 2015 65
Using the Fault Injection App
• Supported fault types:
– Flip
– Stuck-at-0
– Stuck-at-1
– Free
• Tying fault model to assertion:
set_fault_num_and_type_for_assertionsafety.no_error 0 flip
set_fault_num_and_type_for_assertionsafety.corrected_no_error 1 flip
set_fault_num_and_type_for_assertionsafety.error 2 flip
![Page 64: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/64.jpg)
Copyright OneSpin Solutions 2015 66
Application Scenario: FIFO
Failing Assertion for Safety Feature
corrected_no_error: assert property (disable iff (!reset_n)
empty & wr_en ##1 rd_en
|=> rd_data == $past(wr_data,2) & !rd_error & rd_corrected);
Fault
![Page 65: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/65.jpg)
Copyright OneSpin Solutions 2015 67
Example: Safeguarding SRAM
Problem
• Random failure on SRAM introduced in field
• Example: Wrong value in memory leads to wrongly computing airbag release condition
Solution
• Implement error correcting code (ECC) on SRAM
• Verify proper error correction using formal assertion based verification with DV-Verify
Simulation Based Verification
– Hard to anticipate all relevant conditions
– Inefficient on large detailed requirement sets
Formal ABV with Fault Injection
– No knowledge of ECC algorithm needed for verification
– High number of parameter and inputs handled
– Easy to specify behavior and inject faults
– Can handle huge number of combinations for potential faults
Encoder DecoderSRAM
Encoder Decoder
Fault
Injection
Read Write
#faults
![Page 66: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/66.jpg)
Copyright OneSpin Solutions 2015 68
Example: Safeguarding Status Registers
Problem
• Random failure introduced by single event upset in field
• Example: Status register value flips for one cycle
Solution
• Use redundancy, error detection and error handling in safety management unit
• Use Formal ABV to ensure correct handling of faults and error propagation to SMU
Simulation with Fault Injection
– Limited number of faults
– Low degree of automation
– Long run times
Formal ABV with Fault Injection
– Exhaustive fault activation including multiples
– Efficient modeling of faults and specification of requirements
– Highly automated
– Handling large blocks and huge number of faults simultaneously
*) ISO26262 demands verification of safety features on net list level for ASIL-D compliance
(H. Busch, Infineon Technologies, “Formal Safety Verification of Automotive Microcontroller
Parts”, ZuE2012, Bremen)
![Page 67: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/67.jpg)
Copyright OneSpin Solutions 2015 69
Summary on Safety Features
• Random errors cannot be avoided
• Safety functions are added to handle random errors– Normally inactive, Inject faults into verification to activate
• Simulation intractable• Vast number of possible fault locations / combinations to verify
• Formal Verification• Efficient modeling of faults and specification of requirements
• Exhaustive fault activation including multiples
• Highly automated & fast solution
• Additional qualification of verification environment
Real Example
• Verifying Safeguarding Mechanisms of Automotive Micro Controller.
• ECC-Correction of single bit errors for 128 bit data words proven in 5 min.
• High automation achieved by generic properties and flow automation.
• Different kinds of bugs detected, e.g. incomplete alarm reduction.
![Page 68: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/68.jpg)
Copyright OneSpin Solutions 2015 70
Summary
![Page 69: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/69.jpg)
Copyright OneSpin Solutions 2015 71
Safety Critical Verification
Handling the Demands of High Reliability
• Exhaustive functional verification
• Reliable quantitative analysis
• Efficient safety verification
• Independent fault qualification
Minimize Systematic Errors
Most Rigorous Verification
Quantification of Verification
Safeguard Random Errors
Additional Safety Functions
Qualification of Safety Faults
Meeting tough standards: Rigorous verification of design and safety features
Required by Safety Standards
![Page 70: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/70.jpg)
Copyright OneSpin Solutions 2015 72
Where Formal Can Help
• Easier verification of synthesis results and avoiding synthesis bugs by formal equivalence checking
• Swiftly avoiding coding errors by automatic formal inspection
• Reliably exposing implementation errors by formal assertion based verification
• Efficiently verifying additional safety functions usingformal fault injection
Verification
• Faster qualification of simulation test-benches by formal reachability analysis
• Reliably quantifying formal assertion sets by formal observation coverage metrics
• Saving big on safety fault qualification by formal safety fault analysis
Qualification
![Page 71: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/71.jpg)
Copyright OneSpin Solutions 2015 73
Why Formal Verification?
Everybody Wins
Formal verification is an automatic solution for
proving what is often done manually. Implementing a
software based formal verification process implies
less availability to systematic failure and random
failure.
Increase the quality of your process standards while
speeding up implementation.
![Page 72: Automated Verification, No Testbench Rigorous Testing ... · • SEL –Single Event Latch-Up • SEB –Single Event Burnout – Soft Errors, such as • SEU - Single Event Upset](https://reader034.vdocuments.mx/reader034/viewer/2022042921/5f683d62c50d5a16e5256cb9/html5/thumbnails/72.jpg)
Copyright OneSpin Solutions 2015 75
Want more?
To learn more about safety critical design &
verification:
• Read Safety Critical News
– http://safetycritical.onespin-solutions.com/
• Visit us at Booth #3126
– http://www.onespin-solutions.com/index.php/events-
reader/events/DAC15.html