application note: design and fabrication of a vi-cmos ...djoseph/publications/other/cmc_2010.pdf ·...

18
Application Note: Design and Fabrication of a VI-CMOS Image Sensor Trademarks are the property of their respective owners. Version 1.0 August 9, 2010 MS-10-08.09.10-A CMC-00200-01337 Prepared by Orit Skorka and Dileepan Joseph Department of Electrical and Computer Engineering University of Alberta 2010 © Orit Skorka and Dileepan Joseph Notice: 1) Copyright of this application note is held by Orit Skorka and Dileepan Joseph. 2) The authors have granted to CMC Microsystems (CMC) non-exclusive rights to distribute this application note to its registered academic clients. 3) The authors and the University of Alberta disclaim all responsibility for any and all mistakes or inaccuracies in the information contained in this document. Further, the authors and the University of Alberta disclaim all liability for loss or damage, which may result from the use of information in this document. 4) This application note must not be reproduced without this Disclaimer being prominently displayed on the application note cover page.

Upload: others

Post on 16-May-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

Application Note: Design and Fabrication of a VI-CMOS Image Sensor Trademarks are the property of their respective owners.

Version 1.0

August 9, 2010

MS-10-08.09.10-A

CMC-00200-01337

Prepared by Orit Skorka and Dileepan Joseph Department of Electrical and Computer Engineering

University of Alberta

2010 © Orit Skorka and Dileepan Joseph

Notice:

1) Copyright of this application note is held by Orit Skorka and Dileepan Joseph.

2) The authors have granted to CMC Microsystems (CMC) non-exclusive rights to distribute this application note

to its registered academic clients.

3) The authors and the University of Alberta disclaim all responsibility for any and all mistakes or inaccuracies in

the information contained in this document. Further, the authors and the University of Alberta disclaim all

liability for loss or damage, which may result from the use of information in this document.

4) This application note must not be reproduced without this Disclaimer being prominently displayed on the

application note cover page.

Page 2: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

Design and Fabrication of a VI-CMOS Image Sensor

Orit Skorka and Dileepan Joseph, August 2010Electrical and Computer Engineering, University of Alberta

1. SCOPE

This application note starts with a motivation for vertical stacking of integrated circuits, focusing on the benefitsfor electronic image sensors. Next, it considers general principles in the design of vertically-integrated (VI)CMOS image sensors that are fabricated by flip-chip bonding. These sensors are composed of a silicon die withCMOS circuits and a transparent die with photodetectors. As a specific example, the note presents a VI-CMOSimage sensor that was designed at the University of Alberta, and fabricated with the help of CMC Microsystemsand Micralyne Inc. Finally, recommendations are made for future projects of a similar nature.

2. BACKGROUND

Vertical stacking of integrated circuits (ICs), where one die is placed above another, is a growing trend in ICdesign. The approach offers several advantages over planar technologies. First, only one package is required forseveral dies, which makes it possible to build lighter and more compact systems.1 Second, because the long traceson a printed circuit board (PCB) are replaced by much shorter connections between dies, the resistance (R) andcapacitance (C) of interconnects are significantly lowered. This results in a notable reduction in transmissionpower loss. Moreover, RC delays become smaller and, therefore, the interconnect bandwidth increases.2 Inaddition, the information flow between dies may be raised substantially with vertical integration because thenumber of connections between dies is area-limited, not perimeter-limited as with planar technologies.

3. DESCRIPTION OF APPLICATION

The capabilities of vertically-integrated (VI) image sensors are likely to surpass those of planar image sensors.3

Vertical integration enables multi-tier image sensors, where each tier is fabricated in a technology optimized forthe type of devices it contains. Image sensors require several types of devices: photodetectors for sensing; analogcircuits for amplification and pre-processing; and digital circuits for control and post-processing. While digitalcircuits may exploit the advantages of a nanoscale CMOS process, photodetectors may be fabricated in a largerscale process. Analog circuits may be fabricated in an intermediate scale process or, with robust design methods,in the same process as the digital ones. Furthermore, in some fabrication methods, the photodetector tier neednot use crystalline silicon, which makes it easier to target invisible bands of the electromagnetic spectrum.

For vision applications, image sensors should have features such as high spatial and temporal resolution, highsignal-to-noise ratio, high dynamic range, and low dark limit. Advanced pixel-level circuitry, such as digital pixelsensors (DPS), may be used to address these competing requirements. With CCD technology, however, standardCMOS circuits may not be integrated either in the pixel or elsewhere on the chip. Although DPS is possible withCMOS technology, in-pixel circuits and photodetectors must be laterally integrated. Thus, it is impossible touse advanced circuitry without either having impractical pixel dimensions or using a nanoscale CMOS process,which is less suitable for photodetection. VI-CMOS technology aims to overcome this dilemma by distributingsemiconductor devices across overlapping tiers. In theory, it enables small pixels for high spatial resolution andalso advanced pixel-level circuitry to address other important measures of imaging performance.

Fig. 1 shows a VI-CMOS image sensor, made by flip-chip bonding, next to a CMOS image sensor. Bothwere designed at the University of Alberta (UofA). Although VI-CMOS image sensors have been demonstratedin the United States,4 Belgium,5 and Germany,6 no such sensor has previously been prototyped via CMCMicrosystems. The closest known Canadian work was done by Hosseini,7 who integrated a microfluidic chip witha CMOS image sensor by flip-chip bonding. In Hosseini’s work, vertical integration was not used to constructthe pixels themselves. Bond pads in the vertical integration were on the perimeter of the image sensor only.

1

Page 3: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

(b)(a)

Figure 1. CMOS and VI-CMOS image sensors designed at the University of Alberta and fabricated via CMC Microsystems.(a) The CMOS sensor was fabricated in a 0.35 µm TSMC process. Each pixel contains a photodetector integrated laterallywith CMOS transistors. (b) The VI-CMOS sensor comprises a silicon die with CMOS circuits (bottom) and a glass diewith photodetectors (top) that were assembled by flip-chip bonding. Whereas the CMOS die was fabricated in a standard0.8 µm Dalsa process, the photodetector die was fabricated in a custom process via Micralyne Inc and the UofA Nanofab.Each pixel contains a photodetector integrated vertically with CMOS transistors.

4. PRINCIPLES OF DESIGN AND FABRICATION

VI-CMOS image sensors may be designed for different fabrication methods, as shown in Fig. 2. With thinnedsubstrate8 and thin-film-on-ASIC (TFA)9 technologies, semiconductor devices may be vertically integrated onone die, enabling monolithic VI-CMOS image sensors. With flip-chip technology,10 VI-CMOS image sensorsare composed of two dies that are assembled face-to-face using metallic interconnects after separate fabrication.Through-substrate-via (TSV) technologies may be used to vertically integrate two or more dies. Front-to-backelectrical connections between dies are possible by etching holes through substrates and metalizing them. Burnset al.11 demonstrated a TSV image sensor with three tiers using stacked silicon-on-insulator (SOI) technology.Top, middle, and bottom tiers were dedicated to photodetectors, analog circuits, and digital circuits, respectively.While all tiers were fabricated using SOI substrates, each tier had its own process scale.

Our first efforts towards a prototype concerned TFA technology. However, TFA requires extensive post-processing of finished CMOS substrates, including surface planarization and film deposition. Despite our accessto the UofA Nanofab, a state-of-the-art nanofabrication facility, process development was very difficult withoutwhole CMOS wafers. Using a multi-project wafer service, we could obtain only tens of CMOS dies at a relativelylow cost. Furthermore, because the CMOS dies were fabricated in a commercial process, the exact materials anddimensions involved were trade secrets, which made it more difficult to develop compatible post-processing.

In 2007, we switched to flip-chip technology because it was the only way to make a VI-CMOS image sensorwith the support of CMC, the umbrella organization for Canadian microsystems. At the time, TSV technologieswere still in development – there were no TSV services available through CMC. Flip-chip technology requiredthe design and fabrication of a CMOS die (Section 4.1) and a photodetector die (Section 4.2), as shown in Fig. 3.These dies are assembled by flip-chip bonding (Section 4.3) to make a VI-CMOS image sensor.

4.1 CMOS Die

The CMOS die in Fig. 3(a) was designed for a standard CMOS process. Design of a CMOS die for a VI-CMOSimage sensor is similar to the design of a CMOS image sensor, but there are some important differences.

2

Page 4: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

CMOS circuits

photodetectors

(a)

F

thinned silicon substrate

transparent electrode

photodetectors

metallic back contacts

(b)

silicon die with CMOS circuits

F

insulators with metalized viastier 1

tier 3

handle silicon

F

transparent insulator

tier 2

insulator

(d)

metallic interconnects

photodetectors

transparent die

F(c)

silicon die with CMOS circuits

transparent electrode

Figure 2. VI-CMOS image sensors fabricated by thinned substrate, thin-film-on-ASIC (TFA), flip-chip, and through-substrate via (TSV) technologies. (a) After CMOS circuits are formed on one side, photodetectors are formed on theother side of a silicon substrate that is also thinned. (b) Thin films that define photodetectors are deposited and patterneddirectly on a silicon substrate with CMOS circuits, i.e., an ASIC. (c) A silicon die with CMOS circuits and a transparentdie with photodetectors are precisely aligned and attached by flip-chip bonding. (d) Vias are etched and metalized throughone or more substrates to make electrical connections between two or more semiconductor tiers.

Typical CMOS image sensors are composed of: active pixels, which amplify photodetector signals; row andcolumn address decoders, which select pixel signals for readout; column and output buffers, which route selectedsignals to output buses; and analog-to-digital converters (ADC), which transform the output signals. In additionto the photodetectors, these readout circuits define how photogenerated charge carriers are interpreted. Ingeneral, the digital response is a linear or logarithmic function of the light stimulus. Usually, a few ADCs areincluded for all pixels. However, designs that include one or two ADCs per column, or column-level ADCs, areincreasingly common. Further details on CMOS image sensor design may be found in the literature.12–14

As with a CMOS image sensor, the floor plan of a CMOS die designed for a VI-CMOS image sensor alsorequires active pixels, address decoders, buffers, and one or more ADCs. However, unlike CMOS image sensors,there is no photodetector in the pixel layout. Instead, each pixel has a bond pad to form an electrical contactwith a vertically-integrated photodetector after flip-chip bonding. Like typical CMOS chips, a VI-CMOS imagesensor also requires peripheral bond pads for wire bonding to a package that can be soldered onto a PCB.

For visible-band image sensors, the motivation for VI-CMOS over CMOS technology is to facilitate oneADC per pixel. With conventional CMOS image sensors, analog signals must travel outside the pixel array forconversion to digital signals. While traveling, they accumulate noise. Because digital signals are far more immuneto noise than analog ones, the signal-to-noise ratio is expected to improve with pixel-level ADCs. However, asADCs require complex circuits, building them in a CMOS technology suitable for visible-band imaging impliesa relatively low spatial resolution. Further details on pixel-level ADCs may be found in the literature.15,16

In CMOS image sensors, the pixel layout usually defines borders between adjacent photodetectors. In VI-CMOS image sensors, however, there are good reasons to avoid physical borders between adjacent photodetectors.The manufacturing cost of the photodetector die may be reduced significantly by avoiding the lithography

3

Page 5: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

3.6TCO bond pads

wire bond pads

a-Si:H bond pads

4.5

(a)

metal contacts on a-Si:H

metal contacts on TCO

3.52

3.08

2.68

3.12

(b) mm scale

1

Figure 3. The VI-CMOS prototype in Fig. 1(b) is composed of a CMOS die and a photodetector die. (a) The centralarea of the CMOS die contains a circuit array for readout purposes, which mates to back contacts on the photodetectors.Surrounding bond pads mate to a transparent conductive oxide (TCO), which defines a front contact on the photodetectors.Peripheral bond pads are required to wire the image sensor to a package. (b) The central area of the photodetector diehas an array of bond pads on a light-sensitive semiconductor. Surrounding bond pads are on the TCO.

steps required to pattern the borders. Moreover, edges of patterned devices introduce defect states and otherimperfections that degrade performance, for example, by increasing the dark currents of photodetectors.

Without physical borders between adjacent photodetectors, lateral currents may flow due to drift and diffu-sion. This would cause photogenerated charge carriers to enter the “wrong” pixels of the CMOS die, a conditionknown as “crosstalk”. The crosstalk may be made negligible if a vertical electric field of sufficient uniformityand magnitude is applied on all photodetectors by the CMOS circuits. Schneider et al.17 used a feedback activepixel to introduce this approach in a VI-CMOS image sensor made by TFA technology. Skorka and Joseph18

elaborated on the design of such pixels, especially in terms of stability and compensation.

4.2 Photodetector Die

The photodetector die in Fig. 3(b) was fabricated in a custom process. Unlike with the CMOS die, the challengewith designing this die has to do with its cross-section, and not its floor plan. One must specify the materiallayers, their ordering, and their thicknesses. Usually, the electric field in the photodetectors is oriented parallelto the incident light flux, i.e., parallel to Φ in Fig. 2(c). Otherwise, each pixel requires two bond pads.

4.2.1 Substrate Materials

The handle substrate of the photodetector die must be transparent for the electromagnetic band targeted bythe application. For better imaging performance, a large percentage of photons must reach the light-sensitivedevices. There is always some loss of photons due to reflections at interfaces between photodetector layers.However, loss of photons due to absorption in the handle substrate should be minimized.

Handle substrates of the photodetector and CMOS dies should have similar coefficients of thermal expansion(CTEs). Large CTE differences cause mechanical stress when the temperature of the assembled device variesfrom the temperature of assembly. Temperature changes are expected when the device is powered up or down.Mechanical stress results in distortion of features, which may affect functionality, especially with nanoscaleCMOS. Table 1 gives three CTEs of silicon, which is the handle substrate of standard CMOS dies, and ofsubstrates suitable for visible-band applications. Borosilicate glass has CTEs closest to those of silicon.

When selecting a handle substrate, properties of other substrate materials in the photodetector die should beconsidered. Amorphous materials may, in general, be deposited on any handle substrate. However, crystallinematerials require handle substrates with matching lattice constants. Moreover, the handle substrate must with-stand all process steps required to make the photodetector die. For example, polysilicon films, which are suitable

4

Page 6: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

Table 1. Coefficients of thermal expansion (CTEs) of silicon and substrates that are transparent in the visible band.19

Borosilicate glass, which is sold commercially as Pyrex or Borofloat, has CTEs that are closest to those of silicon.

Material CTE (10−6 K−1)@ 200 K @293 K @500 K

Silicon 1.5 2.6 3.5

Glass, borosilicate 2.7 2.8 3.3

Glass, fused-silica 0.1 0.5 0.6

Glass, soda-lime - 7.5 -

Quartz, single crystal, ‖ c-axis 5.2 6.8 11.4

Quartz, single crystal, ⊥ c-axis 10.3 12.2 19.5

Sapphire, single crystal, ‖ c-axis 4.1 4.8 7.9

Sapphire, single crystal, ⊥ c-axis 6.6 7.4 8.3

for photodetection, may be deposited using low-pressure chemical vapour deposition (LPCVD) at over 600C.If the films are doped, they require annealing at 900–1000C for dopant activation. Borosilicate glass, althoughtransparent and with CTEs close to those of silicon, cannot be used with polysilicon photodetectors because itcannot withstand these temperatures. Fused silica, quartz, or sapphire should be used in this case.

4.2.2 Transparent Electrode

The first layer on the handle substrate must be a transparent conductor. It forms the front contact of allphotodetectors, and is an essential electrode to realize a vertical electric field. In some cases, it is possible use aheavily-doped section of the handle substrate or the light-sensitive devices (subsequent layers) for this purpose.In other cases, one deposits a film based on thin metals, transparent conductive oxides (TCOs), transparentconductive polymers (TCPs), or carbon nanotubes (CNTs). These materials are described below.

Thin metals: Metals are very good conductors but are opaque to visible light. Metal films, however, transmitsome visible light if they are very thin. Aluminum (Al), silver (Ag), and gold (Au), are attractive choicesbecause they have a relatively high transmittance in the visible band. These metals must be less than 20 nmthick to have at least 10% transmission.20,21 Unfortunately, thin films are much less conductive than thickones, and their conductivity is much more sensitive to thickness variation. Hence, it may be difficult toachieve a satisfactory combination of transparency, conductivity, and uniformity with thin metals.22

Transparent conductive oxides: TCOs are semiconductors, usually polycrystalline or amorphous, that havehigh optical transparency and high electrical conductivity, properties normally considered mutually exclu-sive.23 To be used as a TCO, a semiconductor needs a high band gap (& 3.1 eV), a high concentrationof free carriers (& 1019 cm−3) – i.e., it needs to be a degenerated semiconductor24 – and a good mobility(& 1 cm2V−1s−1). Popular TCOs are indium oxide (In2O3), tin oxide (SnO2), and zinc oxide (ZnO), whichare all n-type semiconductors. Table 2 presents their optoelectronic properties. Although TCOs are moreconductive than typical semiconductors, they are much less conductive than metals.

Often, TCOs are doped with impurities. Widely used examples are tin-doped indium oxide (In2O3:Sn orITO) and aluminum-doped zinc oxide (ZnO:Al or AZO). ITO has been used for many years in applicationswhere transparent electrodes were needed. However, because indium and tin are expensive metals, whilezinc is cheap and non-toxic, AZO films have been getting more attention in recent years.25

Transparent conductive polymers: Organic electronic devices are based on polymers such as those listedin Table 3. Mass production of organic devices is expected to be cheaper than that of inorganic devices.

5

Page 7: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

Table 2. Optoelectronic properties of the three TCOs that are most commonly used.23

Material Band Gap Conductivity Carrier Concentration Mobility(eV) (Ω−1cm−1) (cm−3) (cm2V−1s−1)

In2O3 3.75 104 > 1021 35

ZnO 3.35 8 · 103 > 1021 20

SnO2 3.60 5 · 103 > 1020 15

Table 3. Abbreviations and full names of polymers commonly used in organic electronic devices.

Abbreviation Full Name

CuPc copper(II) phthalocyanine

PC60BM (6,6)-phenyl-C61-butyric acid methyl ester

PDDTT poly(5,7-bis(4-decanyl-2-thienyl)-thieno (3,4-b)diathiazole-thiophene-2,5)

PEDOT poly(3,4-ethylenedioxythiophene)

PSS poly(styrenesulfonate)

P3HT poly(3-hexylthiophene)

Moreover, polymers are ideal for realizing flexible devices. Currently, ITO is widely used as a transparentelectrode in organic optoelectronic devices.26 However, ITO is brittle, which makes it unsuitable for flexibledevices. PEDOT:PSS is a flexible TCP that has been touted as a suitable replacement.27

At present, the conductivity of TCPs is about an order of magnitude lower than that of ITO,28 andTCPs are less transparent to visible light than ITO.27 Moreover, when a device includes polymers, themaximum temperature that it can withstand during fabrication and operation is more limited. Therefore,the advantages of working with TCPs are relevant mainly when the whole device is organic.

Carbon nanotubes: Researchers have shown recently that thin films of CNTs, mainly single-walled CNTs(SWCNTs), may be used as transparent electrodes.29,30 SWCNTs are attractive because they can bedeposited on almost any substrate,31 and because their mechanical properties make them suitable for usein flexible devices. Whereas indium prices are rising due to the increasing depletion of indium sourcesworldwide, carbon remains an abundant element. Hence, SWCNTs have a promising future.

Similar to the difficulties faced with polymers, the transparency and conductivity of SWCNTs are infe-rior to those of ITO. Sangeeth et al.27 compared experimentally the performance of ITO, PEDOT:PSS,and SWCNTs. When SWCNT films have a transparency comparable to that of ITO films, for light at550 nm (i.e., the middle of the visible band), their conductivity is almost two orders of magnitude lower.Nonetheless, researchers are working on methods to improve the conductivity of CNT films.31,32

4.2.3 Light-Sensitive Devices

Electronic photodetectors are mainly constructed from a light-sensitive semiconductor, which must have highabsorption coefficients for the targeted wavelengths. Hence, for visible-band imaging, the semiconductor bandgap must be smaller than the energy of red photons. In addition, absorbed photons must change the electricalproperties of the semiconductor sufficiently so that the change is detectable by a CMOS circuit.

With VI-CMOS image sensors, there may be more degrees of freedom in photodetector design than withCMOS image sensors. For example, the depth of lateral photodetectors in a CMOS image sensor is largely fixedby the doping profiles of the CMOS process. However, the depth of vertical photodetectors in a flip-chip image

6

Page 8: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

sensor is largely variable. On the photodetector die, the thickness of the light-sensitive semiconductor may bechosen to optimize a performance measure, such as the ratio between photocurrent and dark current.33

In addition to layer thicknesses, a photodetector design must specify the device type and the layer materials.In general, light-sensitive devices may be categorized as photoconductors, photodiodes, or phototransistors.34

Traditionally, photodetector layers were based on inorganic semiconductors, either crystalline or amorphous ones,but organic semiconductors may also be used. Further details are given below.

Photoconductors: A photoconductor (or photoresistor) consists of a uniformly-doped semiconductor sand-wiched between ohmic contacts, i.e., heavily-doped layers of the same semiconductor. Device conductivityincreases with increasing illumination. With an applied electric field, photogenerated electrons and holesare collected by opposite contacts. For good performance, the charge carriers should have long lifetimesand high mobilities. Otherwise, most of the excess electron-hole pairs recombine on their way to the con-tacts, and do not contribute to the photocurrent. The semiconductor should have a low dark current, withrespect to photocurrent, for the device to have an acceptable response in dim illumination.

Photodiodes: Photodiodes are commonly used in CMOS image sensors. They incorporate either p-n junctionsbetween p-doped and n-doped semiconductors or Schottky junctions between semiconductors and metals.Under reverse bias, photodiodes usually have lower dark currents than comparable photoconductors becauseof a depletion layer. An electric field accelerates photogenerated charge carriers towards the contacts, wherethey contribute to photocurrent. To increase the thickness of the depletion layer, an intrinsic layer (undopedor lightly doped) may be inserted between the p and n regions. This makes a p-i-n photodiode.

Avalanche photodiodes permit the detection of single photons. These devices realize high gains by acceler-ating photogenerated charge carriers, using a high electric field, so as to generate secondary electron-holepairs in the depletion layer. Lately, there has been an increased interest in avalanche photodiodes,35 whichhave applications not only in image sensors but also in microfluidics (lab on a chip).

Phototransistors: The term phototransistor is normally used for two back-to-back p-n junctions, i.e., light-sensitive devices that resemble bipolar transistors. When two Schottky junctions are used, the device isoften called a metal-semiconductor-metal (MSM) photodetector. In either case, one junction is reversedbiased while the other is forward biased when a voltage is applied. Photogenerated charge carriers performthe role of the base current in a bipolar transistor. A high photocurrent is possible.

Crystalline semiconductors: Crystalline silicon is the material used to make photodetectors in standardCMOS and CCD image sensors. Other crystalline semiconductors that are suitable for photodetection inthe visible band are alloys like gallium arsenide36 (GaAs) and indium gallium nitride37 (InGaN). Commondeposition methods for these materials are molecular beam epitaxy (MBE) and metal-organic chemicalvapour deposition (MOCVD). Mercury cadmium telluride (HgCdTe or MCT) has long been used forinfrared photodetection. The band gap of this alloy may be varied by changing the element proportions.38

The main drawback with crystalline materials is that they can be deposited only on substrates with similarlattice constants. Moreover, the deposition needs to be done at relatively high temperatures.

Amorphous semiconductors: Hydrogenated amorphous silicon (a-Si:H) is an amorphous semiconductor com-monly used for photodetection in the visible band. It is a relatively cheap material, has a high absorptioncoefficient for visible light, and can be deposited on various substrates. Popular deposition methods fora-Si:H optoelectronic devices are sputtering and plasma-enhanced chemical vapour deposition (PECVD).The deposition is done at relatively low temperatures, i.e., at 200–250C. Amorphous selenium (a-Se) isanother amorphous semiconductor that is used for photodetection. Its properties make it ideal for detectingx-rays.39 However, a-Se photodetectors for the visible band have also been demonstrated.40

Organic semiconductors: Although organic semiconductors have been studied for 60 years, their use in op-toelectronic devices, e.g., LCD displays, is quite recent. The breakthrough was the discovery that someorganic semiconductors are photoconductive under visible light.41 Initially, organic semiconductors wereunstable and had a low carrier mobility. However, their properties have improved in recent years thanks

7

Page 9: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

bond pad cells for wire bonding are 0.2 × 0.35

20 × 24 readout circuits array

3.08

3.6

4.5

3.523.52

3.08

2.68

3.12

silicon die

glass die

CMOS pad

solder bump

UBM

TSM

passivation

photodetector

CMOS pad

solder bump

photodetectors

Figure 4. Cross-section view of bond pads during flip-chip bonding. Unlike what was expected,45 the flip-chip bondingcontractor preferred to place solder bumps on the smaller die. Therefore, bond pads on the photodetector die were theunder-bump metalization (UBM), and bond pads on the CMOS die were the top surface metallurgy (TSM).

to extensive research. They are attractive for use in optoelectronic devices, as an alternative to inorganicsemiconductors, because of their low cost, low deposition temperature, and flexibility. Organic photodetec-tors for the visible band have been demonstrated using materials such as penctane,42 a blend of PDDTTand PC60BM,43 and a structure composed of P3HT and CuPc thin films.44 Deposition methods for or-ganic semiconductors include thermal evaporation, organic molecular beam deposition (OMBD), and spincoating. In some cases, deposition may be done at or just above room temperature.

4.3 Flip-Chip BondingCMOS dies and photodetector dies need to undergo a few more process steps before they can be flip-chip bonded.The process performed on the CMOS dies includes etching of the native oxide layer from the aluminum bondpads and deposition of a metal stack that has a good wettability to the solder material used in the flip-chipbonding. Photodetector dies are processed to form two sets of bond pads, which are also metal stacks. Thesebond pads form back contacts on the photodetectors, and also connect to the transparent electrode, which formsa front contact on the photodetectors. Such contacts must have a good adhesion to non-metallic materials, suchas semiconductors and conductive oxides, and must have good wettability to the solder material.

The design and fabrication of bond pads for flip-chip bonding has been considered in a previous applicationnote.45 One detail needs correcting. As illustrated in Fig. 4, the bond pads on the photodetector dies serve asunder-bump metalization (UBM), and those on the CMOS dies serve as top surface metallurgy (TSM). Unlikewhat was expected, the flip-chip bonding contractor preferred to form the solder bumps on the photodetectordies, not on the CMOS dies. Having the solder bumps on the smaller die facilitated the assembly process.

5. DESIGN AND FABRICATION OF A PROTOTYPE

The previous section focused on general principles in the design and fabrication of a VI-CMOS image sensor.This section focuses on the design and fabrication of a specific prototype.

CMOS dies were fabricated in a standard CMOS process. Therefore, the challenging part with these dies wasthe circuit design, mainly the pixel layout, and not the fabrication. Photodetector dies, however, were fabricatedin a custom process. In terms of manufacturability and performance, these dies are not the best that could bedesigned for the visible band, which was targeted for simplicity. However, they are the best that could be madewith the available materials and equipment. A new process was developed at the UofA Nanofab to realize thephotodetector dies. Process development requires that all materials used, e.g., etching gases and solutions, andall conditions reached, e.g., maximum temperature, work without any undesirable side effects.

8

Page 10: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

110 mm

55 mm

(b)(a)

AP

AD

AM

AD

SL

FL BP

SW

LP

BF EC

110 mm

55 mm

AP

AD

AM

AD

SL

FL BP

SW

LP

BF EC

Figure 5. Floor plan and pixel layout of the designed CMOS die. (a) As with a CMOS image sensor, the CMOS die ofa VI-CMOS image sensor requires active pixels (AP), row and column address decoders (AD), and buffers (BF). Extracircuits (EC) and alignment marks (AM) are included for test purposes and flip-chip bonding. (b) The active pixel hasa bond pad (BP) for the vertical photodetector and a feedback logarithmic-response circuit (FL), as well as a lateralphotodiode (LP) and a standard logarithmic-response circuit (SL). A switch (SW) configures the output.

5.1 CMOS Die

The CMOS die was designed for a 0.8 µm Dalsa process, which has three metal layers. Fig. 5 gives the floorplan, which includes a 20 × 24 array of active pixels, and the pixel layout, which includes a bond pad for thevertical photodetector. ADCs were not included for simplicity. Although electrostatic discharge protection isrecommended for all bond pads, such circuits were only included in wire bond pads. Interior bond pads areinaccessible after flip-chip bonding. Schematic and layout designs were done with Cadence. The schematic wasverified using DC, AC, and transient simulations. The layout was verified using design rule check (DRC) andlayout versus schematic (LVS) tests. Dies were fabricated through CMC.

Pixels are 110 × 110 µm2, which is quite large for visible-band applications. When the project was at thedesign stage, CMC could guarantee flip-chip bonding only for bond pads of at least 55µm pitch and 110µmspacing from centre to centre. However, American researchers have demonstrated VI-CMOS image sensors,using flip-chip technology, with 10 × 10 µm2 pixels.10 Improved access to fine-pitch flip-chip bonding will makeit possible for Canadian researchers to realize VI-CMOS image sensors with high spatial resolution.

In general, design rules of CMOS processes do not allow placement of devices underneath bond pads, andrequire bond pads to connect to all metal layers. However, researchers are working to change this. For example,Ker et al.46 designed and tested NMOS transistors underneath wire bond pads. Their bond pads used all metallayers except the lowest, which was used for the transistors. Even after wire bonding, there was little differencebetween the characteristics of these transistors and standard ones, located far from the bond pads.

Because the light-sensitive semiconductor in the photodetector die is unpatterned, active pixels in the CMOSdie employ feedback circuits to reduce crosstalk. A logarithmic response to light stimulus was chosen over alinear one because it can capture a higher dynamic range. The feedback logarithmic-response circuit maintainsa constant voltage at the photodetector back contacts and, therefore, uses current as its input signal.

Each pixel also includes a lateral photodiode and a standard logarithmic-response circuit. Because space wasavailable in the layout, these were added so that the functionality of the CMOS die could be tested independentlyof flip-chip bonding. In addition, the pixel contains a switch, which is configured externally. In one configura-tion, the lateral photodiode is connected to the standard logarithmic circuit, and the vertical photodetector isconnected to the feedback logarithmic circuit. Connections are swapped in the second configuration.

9

Page 11: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

300 350 400 450 500 550 600 650 700 750 8000.0

0.2

0.4

0.6

0.8

1.0

wavelength (nm)

op

tica

l tra

nsm

issi

on

uncoated borosilicate glass

ITO−coated glass (before annealing)

ITO−coated glass (after annealing)

Figure 6. Optical transmission of a borosilicate glass substrate before and after ITO deposition. Transmission of the coatedglass was measured before and after the ITO was annealed. Annealing had almost no effect on the optical transmission.This ITO/borosilicate substrate is suitable for photodetectors in the visible band (400–700 nm). However, as transmissiondrops quickly for wavelengths shorter than 400 nm, it is unsuitable for photodetectors in the ultraviolet band.

To verify the functionality of the CMOS circuits, several CMOS dies were packaged without undergoing flip-chip bonding. A PCB was designed to test packaged CMOS dies, as well as the final prototypes. Data conversionis done on the PCB with a commercial ADC. Control of the CMOS die and ADC is accomplished with an AlteraCyclone II FPGA board, which communicates with a PC through a QuickUSB board from Bitwise Systems.The FPGA reads video data from the image sensor via the ADC and sends it to a PC, where it is processed forreal time display. All boards are powered by the PC’s universal serial bus (USB).

5.2 Photodetector Die

The design of the photodetector die was mainly determined by the light-sensitive semiconductor that we coulduse. There was no equipment for GaAs deposition in the Nanofab. Moreover, GaAs films must be depositedon GaAs substrates, which are opaque to visible light. Some options, such as HgCdTe (MCT), were ruled outbecause of their toxicity. Other options, such as organic films, did not have good enough performance at thetime. After a careful review, the only semiconductor we could work with productively was a-Si:H.

In general, a-Si:H can be deposited either by sputtering or by PECVD. The latter method tends to yieldhigher quality films than the former method. Sputtering must be done at 200–250C as a reactive process usinghydrogen. Although the Nanofab has sputtering machines, none of them had a hydrogen supply. Fortunately,Micralyne Inc, an Edmonton company, agreed to deposit a-Si:H films with their PECVD machine. Micralyne’sprocess, however, did not support dopant gases. Therefore, our devices had to be based on intrinsic films, andso photodiodes could not be implemented. To create ohmic contacts, shallow heavily-doped diffusion layers arerequired, but we did not have equipment that could be used for this purpose. Consequently, we designed anMSM device, in which an intrinsic a-Si:H layer is sandwiched between two conductive layers.

5.2.1 Substrate Materials

We used borosilicate glass (Borofloat) as the handle substrate for the photodetectors. Although thinner substrateswere available, we used 1 mm thick ones because they were in stock at the Nanofab. Substrates were cleanedusing a Piranha solution (sulfuric acid and hydrogen peroxide). Using the VASE ellipsometer in the Nanofab,we measured the optical transmission of a naked substrate. Results are presented in Fig. 6.

Fig. 7 illustrates the fabrication process of the photodetectors. ITO and a-Si:H were deposited on the handlesubstrate by sputtering and PECVD, respectively. The purpose of the first lithography step was to selectively

10

Page 12: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

ITOa-Si:H

glass substrate

(c)

(b)

(a)

glass substrate

glass substrate

metal stack for under bump metallization

ITOa-Si:H

metal marks for dicing lines

Figure 7. Fabrication process of the designed photodetector die. (a) ITO is sputtered at room temperature on a cleanborosilicate glass substrate. The ITO is annealed to improve its conductivity. Using PECVD, a-Si:H is deposited on theITO. (b) The first lithography step uses reactive ion etching to expose ITO at the periphery of a-Si:H rectangles. (c) Anarray of UBM bond pads is formed on the a-Si:H rectangles, with further UBM bond pads on the surrounding ITO.45 Ametal grid is deposited with the bond pads to mark dicing lines on the coated glass substrate.

etch the a-Si:H layer. One needs to expose the ITO layer because, in the VI-CMOS image sensor, an electricpotential must be applied to it. The a-Si:H was dry etched using the Plasma Lab µEtch machine in the Nanofab.The chamber was pumped down prior to the process. Etching was done in an atmosphere composed of 40 sccmof carbon tetrafluoride (CF4) and 10 sccm of oxygen (O2). The CF4/O2 plasma also serves as surface treatmentto improve performance of the ITO film.47 An RF power of 100 W was applied, and the chamber pressure was63 mTorr. A chrome mask was used for the dry etch because earlier trials with a photoresist mask showed thatthe etchant gases consumed the photoresist at a higher rate than the a-Si:H.

The final photodetector design was a Cr/a-Si:H/ITO stack on glass. Chrome was used as the back contactbecause it has a good adhesion to non-metal substrates, including a-Si:H. To get a higher photocurrent to darkcurrent ratio with this MSM device, the CMOS die connects the ITO electrode to a higher voltage than thechrome electrode due to the relative size of potential barriers at the two Schottky junctions.48

5.2.2 Transparent Electrode

Equipment and materials available in the Nanofab meant we could use either a thin metal or TCO film as thetransparent electrode. The layer could be realized by physical vapour deposition (PVD), i.e., either sputteringor e-beam evaporation. We preferred the TCO option because our first sputtering trials of ITO were successful,despite a brittle ITO target. Moreover, if a metal film is used, it must be less than 20 nm thick. Although thesubstrate is rotated during the deposition, there are still non-uniformities in film thickness. With thin metals,small variations in thickness result in large variations in transparency and conductivity.

For photodetectors based on a-Si:H, in which an a-Si:H film is deposited on a TCO substrate, ZnO is preferableto ITO as the TCO material. The a-Si:H is normally deposited using a PECVD process, during which the TCOsurface is exposed to hydrogen plasma. When ITO is exposed to hydrogen plasma, hydrogen radicals react withthe oxygen in the ITO, and reduce some of the oxide into metals, i.e., indium and tin.49,50 This decreases the

11

Page 13: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

transparency of the ITO to visible light, and also changes the electrical properties of the a-Si:H/ITO contact.ZnO, on the contrary, is non-reactive under these conditions.51

Although ZnO (and AZO) targets are available commercially, we were not allowed to work with zinc in themulti-user machines of the Nanofab because zinc has a high vapour pressure at low temperatures. Usage ofzinc in the vacuum chambers would mean that, for a long time, future users of the machine would have zinccontamination in their depositions. Therefore, we had to work with ITO.

The ITO films were deposited in a Lesker magnetron sputtering machine with a Lesker ITO target. Prior todeposition, the chamber was pumped down to a pressure of 2 µTorr. The deposition was done in a pure argonenvironment with a gas flow of 50 sccm, and under pressure of 5.3 mTorr. Each deposition lasted for 50 min. AnRF power of 80W was used during the process. Under these conditions, the mean deposition rate of the ITO was5.5 nm/min. Film resistivity was measured immediately after deposition using a four-point probe. The averagevalue was 5.83 · 10−4 Ω cm. Deposition of ITO films in a reactive process, where the chamber atmosphere was1% oxygen, resulted in films that were about twice as resistive (or half as conductive).

After deposition, the ITO films were annealed for two hours in air at 150–175C. The average resistivityafter annealing was 5.45 · 10−4 Ωcm. Annealing trials that were performed at 250–325C resulted in increasedresistivity. Finally, the annealing had negligible impact on film transparency, as shown in Fig. 6.

5.2.3 Light-Sensitive Devices

Micralyne deposited two sets of a-Si:H films for us by PECVD. Both depositions were done at 200C. In thefirst set, a-Si:H was deposited on two thermal-oxide silicon wafers. One film was 50 nm thick, and the other was1000 nm thick. The purpose was to characterize the films, and to determine their suitability for our application.In the second set, a-Si:H was deposited on four ITO-coated Borofloat wafers. Film thicknesses were 250, 500, 750,and 1000 nm. These depositions were used to fabricate the photodetector dies. We asked for multiple thicknessesto experimentally determine the optimal photodetector thickness in the VI-CMOS image sensor. The 1000 nmfilm in this second set, however, was not uniform over the substrate. “Bald” areas could be seen.

The thin Micralyne film on the thermal oxide substrate was used to measure optical properties in the visibleband. Extraction of the absorption coefficient, α, was done using the VASE ellipsometer in the Nanofab. Athin film is needed to ensure that not all the light passing through the a-Si:H is absorbed. Monochromatic lightreflected from the sample at various interfaces contains information that is used to extract α. Fig. 8(a) gives theabsorption coefficient of the Micralyne film versus wavelength, λ. Results are compared to reported values forcrystalline silicon,52 as well as hydrogenated and non-hydrogenated amorphous silicon.53 In most of the visibleband, the Micralyne film absorbs about ten times as much light as does crystalline silicon.

Absorption coefficients of the Micralyne film and crystalline silicon were used to calculate the power, perwavelength, that would be absorbed by a 500 nm film, per unit area, given a blackbody light source of 300 luxpower density with a 3050 K colour temperature. These illumination conditions approximate a real laboratorylight source. Results are given in Fig. 8(b). They are compared to the photopic sensitivity of the human eye,which is a measure of relative light power perceived by human colour vision. The Micralyne film absorbs lightin a manner more similar to human photopic sensitivity than does crystalline silicon.

The thick Micralyne film on the second thermal oxide substrate was used for optoelectronic characterization.Because the thermal oxide substrate is an insulator, electrical properties of the film could only be tested withsurface contacts. Aluminum was deposited on the a-Si:H to form contacts. After lithography, a pattern of longand narrow contact lines was made, as shown in Fig. 8(c), to implement the transmission line model (TLM)method.54 With W = 5mm and d = 80µm, ` was varied from 5 to 320µm. The TLM method extracts sheetresistance. Given film thickness, the material conductivity may also be extracted.

Sheet resistance and material conductivity versus illuminance were extracted by illuminating the patternedMicralyne film and repeating the TLM method. We used a halogen light source with a 3050 K correlated colourtemperature and a cold fibre waveguide. Results are shown in Fig. 8(d). Conductivity of the Micralyne filmchanges by about four orders of magnitude in response to a similar change in the illuminance. A second y-axisgives the estimated current for a 10× 10 µm2 pixel, i.e., for pixel dimensions more suitable for imaging than theones actually used (110× 110 µm2). Currents in this range may be easily sensed by CMOS circuits.

12

Page 14: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

l1 l2 l3

RT1 RT2 RT3

RC RC RC RC

W

Rsh2Rsh1 Rsh3

d

(a) (b)

(c) (d)

Figure 8. Optoelectronic properties of Micralyne a-Si:H films. (a) Measured absorption coefficients are compared toreported values for crystalline52 and amorphous53 silicon (hydrogenated and non-hydrogenated). (b) Power absorbed bya 500 nm film is calculated for 300 lux illumination with a 3050 K blackbody spectrum, and is compared to human photopicsensitivity. (c) Sheet resistance is measured using the TLM method,54 which required long contacts to be patterned withvariable spacing. (d) Conductivity is calculated from sheet resistance – both vary with applied illuminance (3050K colourtemperature). Current in a 10× 10 µm2 pixel is also calculated, assuming 1V is applied across a 500 nm film.

Human vision has three different modes of operation, which vary in accordance to illuminance level. Scotopicvision, or dark vision, occurs for illuminances lower than 0.05 lux, and photopic vision, or color vision, occursfor illuminances higher than 50 lux.55 For illuminances between these thresholds, the human eye operates in atransition mode called mesopic vision. Fig. 8(d) shows that the Micralyne film is sensitive to light even at levelsbelow the photopic vision threshold. It is therefore suitable for imaging in the visible band.

There is one more factor to note. Steabler and Wronski56 found that when exposed to light, there is a gradualdecrease in the photocurrent and dark current of a-Si:H films. This change can be reversed by annealing thefilms in a temperature that is slightly lower than their deposition temperature. Extensive research has beendone on the Steabler-Wronski effect (SWE) by various groups around the world.57 We are not certain to whatextent our VI-CMOS image sensor is affected by the SWE. However, our main purpose is to advance Canadianstate-of-the-art with a prototype. Different light-sensitive devices may be used in future.

13

Page 15: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

5.3 Flip-Chip Bonding

Figs. 3(a) and (b) show finished CMOS and photodetector dies. UBM bond pads were fabricated on the pho-todetector dies, both on the a-Si:H surface, where they are arranged in a 20× 24 array, and on the exposed ITOat the array periphery. Design and fabrication of these bond pads are discussed in a previous application note.45

The finished dies were sent to a flip-chip contractor for assembly. TSM was deposited on the interior bond padsof the CMOS dies by the contractor. The contractor also had to form solder bumps on the UBM bond pads.However, at this point he encountered several difficulties with the photodetector dies.

First, the UBM bond pads did not show good wettability to the indium-based solder material. Repeating theprocess after surface cleaning with methanol improved the results. Therefore, we assume that it was caused bysurface contamination with organic material. In addition, there was a problem with adhesion of the bond padsto the a-Si:H surface when a high temperature was applied in order to form the solder bumps. This problem waseven more evident on the ITO surface. These problems arose partly because we had expected solder bumps tobe formed on the CMOS dies and did not design the photodetector dies for that purpose.

The flip-chip contractor told us he had a process for UBM formation. His process works successfully oncrystalline silicon and glass substrates. It includes deposition of a titanium adhesion layer and a thick aluminumlayer. This is followed by electroless nickel and immersion gold plating. Although this process has never beentried on glass substrates coated with a-Si:H and ITO, we believe that it is likely to work. If the deposition of theUBM is done by the contractor, he must be provided with the glass substrates after the first lithography step,when the a-Si:H is etched to expose the ITO. He also requires a positive mask for the bond pads.

The flip-chip contractor recommended sending undiced glass substrates next time. Some photodetector dieswere damaged as they were too small to handle. After formation of the solder bumps, the flip-chip contractor candice the substrates into dies at his facility. Moreover, in future work on similar prototypes, one should includeprocess steps to cover the surface of the light-sensitive devices and the transparent electrode with a dielectriclayer. This should be done everywhere except over the bond pads. A dielectric layer would prevent mechanicaland chemical damage to the photodetectors before, during, and after flip-chip bonding.

These difficulties resulted in a low yield after flip-chip bonding. We intended to get twelve prototypes.However, this number was reduced to eight because of the difficulties encountered by the contractor. During thereturn shipment, five of the eight prototypes disassembled into two dies. Thus, we received three prototypes ofthe VI-CMOS image sensor, all of which were functional. One of them is shown in Fig. 1(b).

6. CONCLUSION

Image sensors include photodetectors and mixed-signal circuits, which involve devices with different requirements.Vertical integration of these devices in a VI-CMOS image sensor means each tier may be fabricated in a differentprocess. This enables advanced circuits in each pixel without sacrificing spatial resolution. Advanced pixel-levelcircuitry is essential for improving the overall performance of image sensors. Although there are other options,this application note focuses on VI-CMOS image sensors made by flip-chip bonding. They are composed of twodies: a silicon die with CMOS circuits, and a transparent die with photodetectors.

The main difference between a CMOS die of a VI-CMOS image sensor and a CMOS image sensor is that, withthe former, each pixel needs a bond pad for a vertical photodetector and does not need a lateral photodetector. Itis desirable to leave the light-sensitive semiconductor unpatterned in the photodetector die of a VI-CMOS imagesensor. This results in a preference for feedback active pixels in the CMOS die, whereby potential differencesbetween adjacent photodetector contacts are attenuated to reduce pixel crosstalk.

The design of photodetectors for VI-CMOS image sensors, especially those fabricated by flip-chip bonding,has many more degrees of freedom than the design of photodetectors for CMOS image sensors. Choices needto be made regarding materials used for the handle substrate, the transparent electrode, and the light-sensitivedevices. One must also choose the light-sensitive device type, which may be a photoconductor, photodiode, orphototransistor. With all this freedom, photodetectors may be optimized for various applications.

In addition to general design and fabrication principles, supported by extensive references, this work presentsa specific VI-CMOS image sensor prototype. To make the prototype, a CMOS die was designed for a commercial

14

Page 16: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

process, and a photodetector die was designed for a custom process. The CMOS die was fabricated by Dalsathrough CMC Microsystems, and the photodetector die was fabricated at the University of Alberta Nanofab andMicralyne Inc. Finally, the two dies were assembled by a flip-chip contractor through CMC.

The VI-CMOS prototype includes two sets of CMOS circuits in each pixel. The first is a feedback logarithmic-response circuit, and the second is a standard logarithmic-response circuit. Each pixel also includes both a verticalMSM photodetector, which uses an unpatterned a-Si:H film, and a lateral CMOS photodiode. Test results of theprototypes will be reported elsewhere, but the prototypes are functional. Nonetheless, optoelectronic propertiesof the Micralyne a-Si:H films were reported. The films proved excellent for visible-band imaging.

The main outcome of this work is a process flow for what is, to our knowledge, the first Canadian VI-CMOSimage sensor. The main drawback is a low spatial resolution due to large pixels. Even if fine-pitch flip-chipbonding cannot be accessed by Canadian researchers in the near future, there are applications where large pixelsare desirable, e.g., in sensors for x-ray imaging. An advantage of flip-chip bonding is its robustness. As long ascontact dimensions and electrical interfaces are preserved, the same CMOS die may be bonded to various sensordies, which are not limited to photodetector dies.

ACKNOWLEDGMENTS

The authors gratefully acknowledge the support of Alberta Ingenuity, CMC Microsystems, and Micralyne Inc.They also thank Dr. Jianzeng Xu of CMC and Dr. Glen Fitzpatrick of Micralyne.

REFERENCES[1] Dong, X. and Xie, Y., “System-Level Cost Analysis and Design Exploration for Three-Dimensional Inte-

grated Circuits (3D ICs),” IEEE Design and Automation conference, 2009 , 234–241 (2009).[2] Davis, W. R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A. M., Steer, M., and Franzon, P. D.,

“Demystifying 3D ICs: The Pros and Cons of Going Vertical,” IEEE Design and Test of Computers 22,498–510 (2005).

[3] Kavusi, S., Kakavand, H., and Gamal, A. E., “Quantitative Study of High Dynamic Range Sigma Delta-based Focal Plane Array Architectures,” Proceedings of the SPIE 5406, 341–350 (2004).

[4] Douence, V., Bai, Y., Durmus, H., Joshi, A., Pettersson, P. O., Sahoo, D., Kwiatkowski, K., King, N.S. P., Morris, C., and Wilke, M. D., “Hybrid Image Sensor with Multiple On-chip Frame Storage for UltraHigh-speed Imaging,” Proceedings of the SPIE 5580, 226–234 (2005).

[5] John, J., Zimmermann, L., Merken, P., de Groote, S., Borghs, G., Hoof, C. V., Nemeth, S., and Colin,T., “Extended wavelength InGaAs on GaAs hybrid image sensors,” Proceedings of the SPIE 5152, 263–270(2003).

[6] Benthien, S., Lule, T., Schneider, B., Wagner, M., Verhoeven, M., and Bohm, M., “Vertically IntegratedSensors for Advanced Imaging Applications,” IEEE Journal of Solid-State Circuits 35, 939–945 (2000).

[7] Hosseini, Y., “Hybrid Integration of Custom CMOS Sensor Chips on a Glass Microfluidic Substrate, Usinga Solder Bump Flip-Chip Assembly Technology,” CMC Application Note , 1–6 (2008).

[8] Hoenk, M. E., Jones, T. J., Dickie, M. R., Greer, F., Cunningham, T. J., Blazejewski, E., and Nikzad,S., “Delta-doped back-illuminated CMOS imaging arrays: Progress and prospects,” Proceedings of theSPIE 7419, 74190T 1–15 (2009).

[9] Lule, T., Schneider, B., and Bohm, M., “Design and Fabrication of a High-Dynamic-Range Image Sensor inTFA Technology,” IEEE J. of Solid-State Circuits 34, 704–711 (1999).

[10] Bai, Y., Bajaj, J., Beletic, J. W., Farris, M. C., Joshi, A., Lauxtermann, S., Petersen, A., and Williams, G.,“Teledyne Imaging Sensors: Silicon CMOS Imaging Technologies for X-Ray, UV, Visible and Near Infrared,”Proceedings of the SPIE 7021, 702102 (2008).

[11] Burns, J. A., Aull, B. F., Chen, C. K., Chen, C., Keast, C. L., Knecht, J. M., Suntharalingam, V., Warner,K., Wyatt, P. W., and Yost, D. W., “A Wafer-Scale 3-D Circuit Integration Technology,” IEEE Trans.Electron Devices 53, 2507–2516 (2006).

[12] Bigas, M., Cabruja, E., Forest, J., and Salvi, J., “Review of CMOS image sensors,” Microelectronics Jour-nal 37, 433–451 (2006).

15

Page 17: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

[13] Gamal, A. E. and Eltoukhy, H., “CMOS Image Sensors,” IEEE Circuits and Devices Magazine 21, 6–20(2005).

[14] Ohta, J., [Smart CMOS Image Sensors and Applications ], CRC Press, Boca Raton, FL, USA (2008).[15] Mahmoodi, A. and Joseph, D., “Pixel-Level Delta-Sigma ADC with Optimized Area and Power for

Vertically-Integrated Image Sensors,” IEEE 51st International Midwest Symposium on Circuits and Systems(MWSCAS), 2008 , 41–44 (2008).

[16] Yang, D. X. D., Fowler, B., and Gamal, A. E., “A Nyquist-Rate Pixel-Level ADC for CMOS Image Sensors,”IEEE Journal of Solid-State Circuits 34, 348–356 (1999).

[17] Schneider, B., Fischer, H., Benthien, S., Keller, H., Lule, T., Rieve, P., Sommer, M., Schulte, J., andBohm, M., “TFA Image Sensors: From the One Transistor Cell to a Locally Adaptive High Dynamic RangeSensor,” IEDM Technical Digest. , 209–212 (1997).

[18] Skorka, O. and Joseph, D., “Reducing Crosstalk in Vertically-Integrated CMOS Image Sensors,” Proceedingsof the SPIE 7536, 75360N 1–13 (2010).

[19] Kaye, G. W. C. and Laby, T. H., [Tables of Physical and Chemical Constants ] (17 Feb. 2010).[20] Meiss, J., Allinger, N., Falkenberg, C., Leo, K., and Riede, M., “Transparent Conductive Layers for Organic

Solar Cells - Simulation and Experiment,” Proceedings of the SPIE 7416, 741603 1–10 (2009).[21] Kar, S., Varghese, R., and Bhattacharya, S., “Electrical, optical, and structural properties of semitranspar-

ent metallic layers,” J. Vac. Sci. Technol. A 1, 1420–1424 (1983).[22] OConnor, B., Haughn, C., An, K. H., Pipe, K. P., and Shtein, M., “Transparent and conductive electrodes

based on unpatterned, thin metal films,” Applied Physics Letters 93, 223304 1–3 (2008).[23] Wager, J. F., Keszler, D. A., and Presley, R. E., [Transparent Electronics ], Springer, New York, NY, USA

(2008).[24] Minami, T., “Transparent conducting oxide semiconductors for transparent electrodes,” Semicond. Sci.

Technol. 20, S35–S44 (2005).[25] Thestrup, B. and Schou, J., “Transparent conducting AZO and ITO films produced by pulsed laser ablation

at 355 nm,” Appl. Phys. A 69, S807–S810 (1999).[26] Chang, Y. M., Wang, L., and Su, W. F., “Polymer solar cells with poly(3,4-ethylenedioxythiophene) as

transparent anode,” Organic Electronics 9, 968–973 (2008).[27] Sangeeth, C. S. S., Jaiswal, M., and Menon, R., “Charge transport in transparent conductors: A compari-

son,” J. Appl. Phys. 105, 063713 1–6 (2009).[28] Meyer-Friedrichsen, T., Elschner, A., Keohan, F., Lovenich, W., and Ponomarenko, S. A., “Conductors and

Semiconductors for Advanced Organic Electronics,” Proceedings of the SPIE 7417, 741704 1–9 (2009).[29] Barnes, T. M., Wu, X., Zhou, J., Duda, A., van de Lagemaat, J., Coutts, T. J., Weeks, C. L., Britz, D. A.,

and Glatkowski, P., “Single-wall carbon nanotube networks as a transparent back contact in CdTe solarcells,” Applied Physics Letters 90, 243503 1–3 (2007).

[30] Behnam, A., Johnson, J., Choi, Y., Noriega, L., Ertosun, M. G., Wu, Z., Rinzler, A. G., Kapur, P., Saraswat,K. C., and Ural, A., “Metal-semiconductor-metal photodetectors based on single-walled carbon nanotubefilm-GaAs Schottky contacts,” J. Appl. Phys. 103, 114315 1–6 (2008).

[31] Paul, S. and Kim, D. W., “Preparation and characterization of highly conductive transparent films withsingle-walled carbon nanotubes for flexible display applications,” Carbon 47, 2436–2441 (2009).

[32] Geng, H. Z., Kim, K. K., and Lee, Y. H., “Recent progresses in carbon nanotube-based flexible transparentconducting film,” Proceedings of the SPIE 7037, 70370A 1–14 (2008).

[33] Skorka, O., Sirbu, D., and Joseph, D., “Optimization of Photodetector Thickness in Vertically-IntegratedImage Sensors,” Proceedings of the SPIE 7249, 72490O 1–12 (2009).

[34] Bhattacharya, P., [Semiconductor Optoelectronic Devices ], Prentice Hall, New Jersey, USA (1994).[35] Guerrieri, F., Tisa, S., Tosi, A., and Zappa, F., “Single-Photon Camera for high-sensitivity high-speed

applications,” Proceedings of the SPIE 7536, 753605 1–10 (2010).[36] Liu, H. C., Song, C. Y., Shen, A., Gao, M., Wasilewski, Z. R., and Buchanan, M., “GaAs/AlGaAs quantum-

well photodetector for visible and middle infrared dual-band detection,” Applied Physics Letters 77, 2437–2439 (2000).

16

Page 18: Application Note: Design and Fabrication of a VI-CMOS ...djoseph/publications/other/CMC_2010.pdf · Each pixel contains a photodetector integrated vertically with CMOS transistors

[37] Roberts, J., Parker, C., Muth, J., Leboeuf, S., Aumer, M., Bedair, S., and Reed, M., “Ultraviolet-VisibleMetal-Semiconductor-Metal Photodetectors Fabricated from InxGa1-xN (0 ¡ x ¡ 0.13),” Journal of ElectronicMaterials 31, L1–L6 (2002).

[38] Chorier, P., Tribolet, P., and Destefanis, G., “From visible to infrared, a new detector approach,” Proceedingsof the SPIE 6206, 620601 1–12 (2006).

[39] Kasap, S. O., Kabir, M. Z., and Rowlands, J. A., “Recent advances in X-ray photoconductors for directconversion X-ray image detectors,” Current Applied Physics 6, 288–292 (2006).

[40] Suzuki, Y., Yamaguchi, H., Oonuki, K., Okamura, Y., and Okano, K., “Amorphous Selenium PhotodetectorDriven by Diamond Cold Cathode,” IEEE Electron Device Letters 24, 16–18 (2003).

[41] Forrest, S. R., “Active Optoelectronics Using Thin-Film Organic Semiconductors,” IEEE Journal on Se-lected Topics in Quantum Electronics 6, 1072–1083 (2000).

[42] Gao, J. and Hegmann, F. A., “Bulk photoconductive gain in penctane thin films,” Applied Physics Let-ters 93, 223306 1–3 (2008).

[43] Gong, X., Tong, M., Xia, Y., Cai, W., Moon, J. S., Cao, Y., Yu, G., Shieh, C. L., Nilsson, B., andHeeger, A. J., “High-Detectivity Polymer Photodetectors with Spectral Response from 300 nm to 1450nm,” Science 325, 1665–1667 (2009).

[44] Sahu, S. and Pal, A. J., “Multifunctionality of Organic Devices: Light Emission, Photovoltage Generation,and Photodetection,” J. Phys. Chem. C 112, 8446–8451 (2008).

[45] Skorka, O. and Joseph, D., “Design and Fabrication of Bond Pads for Flip-Chip Bonding of Custom Diesto CMOS Dies,” CMC Application Note , 1–14 (2009).

[46] Ker, M. D., Peng, J. J., and Jiang, H. C., “Active Device under Bond Pad to Save I/O Layout for High-pin-count SOC,” Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED03), 241–246 (2003).

[47] Chan, I. M. and Hong, F. C. N., “Plasma treatments of indium tin oxide anodes in carbon tetrafluorinde(CF4)/oxygen (O2) to improve the performance of organic light-emitting diodes,” Thin Solid Films 444,254–259 (2003).

[48] Chang, K. L. and Yeh, C. F., “The Effect of Temperature on I-V Characteristics of a-Si:H Photodiode,”Jpn. J. Appl. Phys. Part 2 31, L 1226 – L 1228 (1992).

[49] Hu, J. and Gordon, R. G., “Textured aluminum-doped zinc oxide thin films from atmospheric pressurechemical-vapor deposition,” J. Appl. Phys. 71, 880–890 (1992).

[50] Banerjee, R., Ray, S., Basu, N., Batabyal, A. K., and Barua, A. K., “Degradation of tin-doped indium-oxidefilm in hydrogen and argon plasma,” J. Appl. Phys. 62, 912–916 (1987).

[51] Drevillon, B., Kumar, S., Cabarrocas, P. R. I., and Siefert, J. M., “In situ investigation of the optoelectronicproperties of transparent conducting oxide/amorphous silicon interfaces,” Applied Physics Letters 54, 2088–2090 (1989).

[52] Jellison, J. G. E., “Optical Functions of Silicon Determined by Two-Channel Polarization ModulationEllipsometry,” Optical materials , 41–47 (1992).

[53] Piller, H., “Silicon (Amorphous),” in [Handbook of Optical Constants of Solids ], Palik, E. D., ed., AcademicPress, Orlando, FL, USA (1985).

[54] Reeves, G. K. and Harrison, H. B., “Obtaining the Specific Contact Resistance from Transmission LineModel Measurements,” IEEE Electron Device Letters 3, 111–113 (1982).

[55] Rosen, E. S., Gore, C. L., Taylor, D., Chitkara, D., Howes, F., and Kowalewski, E., “Use of digital infraredpupillometer to assess patient suitability for refractive surgery,” J. Cataract Refract Surg 28, 1433–1438(2002).

[56] Staebler, D. and Wronski, C. R., “Reversible conductivity changes in discharge-produced amorphous Si,”Applied Physics Letters 31, 292–294 (1977).

[57] Stutzmann, M., Jackson, W. B., and Tsai, C. C., “Light-induced metastable defects in hydrogenated amor-phous silicon: A systematic study,” Physical Review B 32, 23–47 (1985).

17