digital design methodology - uvic.caelec399/slides/elec_ceng_seng_399...engineering design flowcad...

Post on 01-Mar-2021

2 Views

Category:

Documents

0 Downloads

Preview:

Click to see full reader

TRANSCRIPT

Engineering Design Flow CAD Tools Summary

Digital Design MethodologyCENG/ELEC/SENG 399

Dr. F. Gebali, PhD, PEng

ECE Dept.Faculty of Engineering

http://www.ece.uvic.ca/∼fayezc©2012

September 23, 2013

1 / 27

Engineering Design Flow CAD Tools Summary

Outline

1 Engineering Design Flow

2 CAD Tools

3 Summary

2 / 27

Engineering Design Flow CAD Tools Summary

Digital DesignMethodology

3 / 27

Engineering Design Flow CAD Tools Summary

What is a Chip?

4 / 27

Engineering Design Flow CAD Tools Summary

Need for Formal Design Methodologies

Most engineering projects are very complexProblem in design are both dangerous or expensive or bothEngineers work within severe limitations:

Tight timelinesTight budgetDemanding performance requirementsNature of project is cross-disciplinary

There are many alternatives to a given designMust choose optimum design

5 / 27

Engineering Design Flow CAD Tools Summary

Performance Demands

1 Design must be guaranteed to work2 Design must meet specifications3 Verification is mandatory4 Testing is mandatory5 Manufacturer must demonstrate that device is working and

is defect free

6 / 27

Engineering Design Flow CAD Tools Summary

Design Goals

TestableHigh-speedSmall-areaSecure (kill switch, SW/HW/FW viruses)Energy-aware (green computing)Thermal-aware

7 / 27

Engineering Design Flow CAD Tools Summary

Overview of the Design Process

Implementation SW/HW/FW

Functional Specifications Block diagrams

Design Exploration Modeling, Simulation

Testing

Specifications (Words)

Verification

Engineering Design SW/HW Modules

8 / 27

Engineering Design Flow CAD Tools Summary

Customer Specifications

Design a better DNA sequencing engine.

9 / 27

Engineering Design Flow CAD Tools Summary

Functional Specifications

Functionality: possible algorithmsPerformance: power, area, package, speed, · · ·Interfaces & Communications: serial, parallel, protocolsTimingData format:Verification plan:Test: Test generationTime to Market: Implementation SW/HW/FW

Functional Specifications Block diagrams

Design Exploration Modeling, Simulation

Testing

Specifications (Words)

Verification

Engineering Design SW/HW Modules

10 / 27

Engineering Design Flow CAD Tools Summary

Functional Specifications: System Timing

Req

Interrupt

Grant

Ack

End

11 / 27

Engineering Design Flow CAD Tools Summary

Design Exploration

AlgorithmsParallel or sequential designCommunications

Implementation SW/HW/FW

Functional Specifications Block diagrams

Design Exploration Modeling, Simulation

Testing

Specifications (Words)

Verification

Engineering Design SW/HW Modules

12 / 27

Engineering Design Flow CAD Tools Summary

Engineering Design

Implementation SW/HW/FW

Functional Specifications Block diagrams

Design Exploration Modeling, Simulation

Testing

Specifications (Words)

Verification

Engineering Design SW/HW Modules

13 / 27

Engineering Design Flow CAD Tools Summary

Engineering Design: Structured Design Strategies

1 Choose Top-Down or Bottom-Up approach2 Abstraction3 Hierarchy4 Modularity5 Locality

14 / 27

Engineering Design Flow CAD Tools Summary

Engineering Design: Top-Down or Bottom-Up approach

Behavior

Device

Structure

Circuit

Top-Level Description

Bottom-Level Description

Top-Down

Bottom-up

15 / 27

Engineering Design Flow CAD Tools Summary

Engineering Design: Top-Down Approach

1 Rely on hierarchy to break down the design2 Enables early system-level verification3 Used mainly by digital designers4 Extensive CAD tools for digital designs

16 / 27

Engineering Design Flow CAD Tools Summary

Engineering Design: Bottom-Up Approach

1 All needed basic circuits are developed first2 More complex modules are built3 Used mainly by analog designers4 Limited CAD tools for analog designs

17 / 27

Engineering Design Flow CAD Tools Summary

Abstraction & Hierarchy: Floating Point Adder

ExponentCompare

Mantissa shift

Adder

Normalizer

18 / 27

Engineering Design Flow CAD Tools Summary

Abstraction & Hierarchy: Direct Memory Access

Primary Memory

CPU

Secondary Memory

Peripheral Device

DMA

19 / 27

Engineering Design Flow CAD Tools Summary

Abstraction & Hierarchy: Direct Memory Access

Arbiter

Req_1Ack_1End_1

Req_nAck_nEnd_n

...

Req

Ack

End

DMA

Interrupt

Grant

CPU

20 / 27

Engineering Design Flow CAD Tools Summary

Logic Design

busy

idle

pending

End/Interrupt

Grant/Ack

Req/Interrupt

Req

reset

Grant/InterruptEnd/Ack

21 / 27

Engineering Design Flow CAD Tools Summary

CAD TOOLS

22 / 27

Engineering Design Flow CAD Tools Summary

CAD Tools: Hardware Description Language (VHDL in CENG 441)

entity dma_device_fsm isPort (

clock : in STD_LOGIC;reset : in STD_LOGIC;done : in STD_LOGIC;request : in STD_LOGIC;grant : in STD_LOGIC;interrupt : out STD_LOGIC;ack : out STD_LOGIC;current_s, next_s: out integer);

end dma_device_fsm;

23 / 27

Engineering Design Flow CAD Tools Summary

CAD Tools: Verification using Property Specification Language(PSL in CENG 441)

- - Check grant is followed by ack- - psl CHECK02: assert always grant -> ack;

24 / 27

Engineering Design Flow CAD Tools Summary

CAD Tools: Placement & Routing

25 / 27

Engineering Design Flow CAD Tools Summary

Summary

1 Overview of digital design methodology2 Design considerations3 System specifications4 System descriptions5 Structured design strategies

26 / 27

Engineering Design Flow CAD Tools Summary

THANK YOU

27 / 27

top related