altera development tools

36

Upload: arrow

Post on 06-Mar-2016

255 views

Category:

Documents


4 download

DESCRIPTION

Arrow Asia and Altera Development Tools

TRANSCRIPT

Page 1: Altera Development Tools
Page 2: Altera Development Tools

Unwavering Support for your Design Challenge

The adoption of new technology is unrelenting. Altera’s new 40-nm portfolio provides you with the highest density, highest-performance, and the lowest-power devices available today. The journey from concept to production for complex systems is now greatly simplified by Arrow Electronics, a premier global provider of products, services, and solutions. Arrow is a global partner for Altera® and Linear Technology, and is proud to offer a selection of development boards supporting the latest Altera programmable devices including Altera’s new high- performance Stratix® IV FPGAs, that are powered by Linear Technology. Assisting you at each stage of your product’s development, Arrow offers unparalleled local engineering expertise and solutions that work across a broad range of applications. Our world-class design services, training, and tools keep your projects on track and accelerate your time-to-market.

Page 3: Altera Development Tools

3

Real-World Solutions Brought to You by Arrow ElectronicsArrow Electronics, Altera

Corporation, and Linear

Technology have partnered

to bring you a selection of

versatile development board

solutions and design software.

Table of Contents4 Introduction to Altera Development Tools5 Altera Device Descriptions

GENERAL PURPOSE6 Altera Stratix III FPGA Development Kit7 Altera Cyclone® III FPGA Starter Kit7 Altera Cyclone III FPGA Development Kit8 Altera MAX® II Development Kit8 Terasic MAX II Micro Development Kit9 Terasic DE3 Development Board9 Terasic DE2-70 Development Board10 SLS CoreCommander Development Kit10 Altera MAX IIZ Development Kit11 Arrow Low Power Reference Platform (LPRP) ARM Bundle

EMBEDDED12 Altera Nios® II Embedded Evaluation Kit, Cyclone III Edition13 Simplifying Embedded Design14 Altera Embedded Systems Development Kit, Cyclone III Edition14 Arrow BeMicro Evaluation Kit

I/O INTERCONNECT15 Altera Transceiver Signal Integrity Kit, Stratix IV GX Edition 16 Altera Stratix IV GX FPGA Development Kit17 Altera Arria® GX FPGA Development Kit

DSP18 Altera DSP Development Kit, Stratix III Edition19 Altera DSP Development Kit, Cyclone III Edition

HSMC Daughtercards20 Data Conversion HSMC Snap On Board20 HSMC E-Gasket Snap On Board20 HSMC to AMC Carrier20 HSMC to AMC Module21 SFP HSMC Board21 SDI Transceiver HSMC Board21 High-Speed A/D and D/A Daughterboard21 High-Definition Multimedia Interface

DESIGN SOFTWARE22 Altera Quartus® II Design Software

LINEAR TECHNOLOGY25 Linear Technology’s Tested and Approved Analog Solutions for Altera

©2009 Arrow Electronics, Inc. Arrow and the Arrow logo are registered trademarks.All other product names and logos are trademarks of their respective manufacturers.Information subject to change without notice. 20090125 – 08/09

Page 4: Altera Development Tools

4

These world-class tools from Altera are complemented by industry-leading power and analog solutions from Linear Technology that are fully supported by the technical support, services, and expertise of Arrow Electronics. To ensure performance, the Linear Technology components found on Altera development boards are proven for interoperability by the Altera board applications teams. With your needs in mind, Arrow’s Field Applications Engineers (FAEs) are factory trained by Altera and Linear Technology to provide real-world technical solutions, and most have at least 10 years of design experience.

Both new and experienced designers will be able to leverage the capabilities of the featured development boards to accelerate system design. These kits provide test and debug platforms for RTL generation while you start developing your application software. Reference designs, cables, and programming hardware are included to ensure that you have the ideal FPGA or CPLD design environment. Linear Technology has worked closely with Altera to understand power, mixed signal (ADC/DAC), and other analog requirements of Altera products and systems, to deliver products you can trust.

Kits and Solutions That Get You to Market Fast

General-Purpose Development Kits

Start your design now with this portfolio of low-cost and easy-to-use development kits, whether you’re designing with the MAX II family of CPLDs, or an FPGA from the Cyclone or Stratix series.

Embedded Processing Solutions

Altera Nios II processor-based development kits are the perfect platform to evaluate Nios II embedded processors. The kits contain a feature-rich FPGA-based development board, extensive reference designs, and complete documentation. In addition, numerous partners offer companion boards that enable development for specific Nios II embedded applications.

DSP Development Kits

The DSP kits include all of the critical design resources you’ll need to start your designs for video and image, wireless, and other complex digital communications. In addition, you can implement entire subsystems in hardware within hours by using the hardware evaluation feature of our DSP IP.

I/O Interconnect Development Kits

Altera offers solutions for many high-speed interfaces. The I/O interconnect development kits allow you to evaluate embedded transceivers in a wide variety of applications such as PCI Express, Gigabit Ethernet, XAUI, Fibre Channel, Serial RapidIO, HD-SDI, and other major standards. Solutions are available for memory, interfaces, and peripherals on the standard PCI card form factor. You can also use the development kits and hardware IP evaluation to assess a variety of high-speed interconnect IP cores as well as to rapidly prototype and debug in a real-time environment.

HSMC Cards

Altera has developed a specification for the interface between development kit host boards and daughtercards. This High Speed Mezzanine Card (HSMC) specification ensures that daughtercards can be used with different host platforms. The connector itself is a slight modification of the SAMTEC QTH/QSH family. Some of Altera’s development kits include one or more HSMCs, and a variety of different daughtercards are available from partners. Of course, it is also common practice for users to create their own HSMC interface-compatible daughtercards.

Design Software

Quartus II software is the number-one design software in performance and productivity for CPLD, FPGA, and HardCopy® ASIC designs. Used in combination with a broad portfolio of design-ready Intellectual Property (IP) cores, Quartus II development software gives you unmatched levels of performance and productivity in your designs. Quartus II software is easy to use and enables you to get products to market faster.

Why Wait? Accelerate Your Journey to Market Now.

Page 5: Altera Development Tools

5

The Stratix FPGA series enables you to deliver high-performance, state-of-the-art products to market faster with lower risk and higher productivity. With its high density, high performance, and rich feature set, you can integrate more functions and maximize performance. Using the Quartus II design software suite, along with a broad portfolio of IP, you can gain the highest level of productivity for large and complex team-based designs. Also contributing to the total solution are HardCopy ASICs, which provide a seamless migration path to low-cost volume production. Backed by Altera’s track record as a reliable and high-quality supplier, the Stratix FPGA series delivers the technology resources you need to design with confidence.Key features

Industry’s biggest and fastest FPGAs•Lowest power high-end FPGAs•Only FPGAs with integrated 11.3-Gbps transceivers•Flexible and high-performance I/O pins•Highest DSP and memory capabilities•Path to low-cost, lowest risk HardCopy ASICs, including transceiver option•Volatile and non-volatile design security•Quartus II design software for highest performance and productivity•

Arria series FPGAs optimize power, performance, and cost for applications requiring 3G transceivers. This series is ideal for a wide range of applications using mainstream protocols such as PCI Express, CPRI, SDI, Gigabit Ethernet, and more. With the integrated Quartus II design environment, IP, reference designs, design examples, and development kits, you’ll get your design up and running in no time. Key features

Optimized power, performance, and cost for 3G applications•Proven transceiver architecture with best-in-class signal integrity•Highly productive, easy-to-use common design environment•Ready-to-use reference designs, design examples, and IP•

For your cost-sensitive, high-volume applications, Altera offers our Cyclone FPGA series—the industry’s only FPGAs designed from the ground up for low cost. Each family member is individually optimized for cost, and delivers a high-volume solution that’s competitive with ASICs and ASSPs. This series of FPGAs—including the 65-nm Cyclone III, 90-nm Cyclone II, and 130-nm Cyclone families—delivers a customer-defined feature set, industry-leading performance, and the lowest power consumption.Key features

The industry’s lowest-cost FPGAs•High-performance digital signal processing (DSP)•Low-cost embedded processing•Free Quartus II Web Edition software support•Free ModelSim• ®-Altera Web Edition software supportAvailable in commercial, industrial, and automotive temperature grades•

Altera’s market-leading MAX series of CPLDs are world-class, low-cost devices designed for virtually any digital and some analog control functions. As non-volatile, single-chip solutions, MAX CPLDs are easy to incorporate into your system. With the devices, you can solve board-level issues such as insufficient I/O pins on a processor; manage analog I/Os for light, sound, and motion; apply level-shifting signals or busses between components; and inexpensively convert incompatible interfaces (a.k.a. “glue logic”). Designed to be hassle-free with intuitive device behavior and software, MAX CPLDs give you the freedom to focus on your more complex design challenges.Key features

Low cost•Zero-power options•Ultra-small packages•Instant-on and non-volatile•In-system programmability (ISP)•Free Quartus II Web Edition software support•Free ModelSim-Altera Web Edition software support•

The industry’s most advanced FPGA and CPLD technologies

Page 6: Altera Development Tools

6

GE

NE

RA

L P

UR

PO

SE

Arrow | Altera Development Tools

DK-DEV-3SL150N

72 Mbit QDRII/+18/18

14-Pin LCDHeader

Stratix III FPGA

USB2.0

PowerMeasure/Display

MAX IICPLD (x16)

4MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2(x72)

16MBDDR2(x8)

16MBDDR2(x8)

Quad 7-Seg/User LEDs,

Buttons,Switches

Graphics DisplayHeader

CM

OS

+ L

VD

S

SMA Output

125 MHzXTAL

1.5V

HS

TL

2.5V CMOS

1.8V CMOS

SMA Input

1.8V CMOS

1.8V

SS

TL

50 MHzXTAL 1.

8V C

MO

S

2.5V CMOS

HSMC Port B

HSMC Port A

CMOS + LVDS10/100/1000

Ethernet

RJ45Jack

The Stratix III FPGA Development Kit delivers a complete environment for the development and testing of designs requiring high-performance and high-density devices. Stratix III FPGAs combine the world’s highest performance and highest density with the lowest possible power consumption. You’ll find Stratix III FPGAs provide the high-performance and high-integration capabilities needed for next-generation base stations, network infrastructure, and advanced imaging equipment.

Development Kit ContentsAltera device

Stratix III EP3SL150F1152 high-performance FPGA•

ConfigurationMAX II flash passive serial configuration circuit•On-board USB-Blaster• TM using Quartus II ProgrammerJTAG download port•

General user input/outputPower consumption display - displays each power rail individually•System reset pushbutton•Board-specific DIP switch•JTAG bypass DIP switch•User reset pushbutton•User pushbuttons (x4)•User DIP switch (x8)•User LEDs (x8)•User quad 7-segment display•128 x 64 dot pixels graphics display•LCD (16 character x 2 line)•

Memory devices128-MB DDR2 SDRAM DIMM•16-MB DDR2 SDRAM devices (individually addressable)•36-Mbit QDRII SRAM device•4-MB PSRAM•64-MB flash memory•

Components and interfacesUSB 2.0•10/100/1000 Ethernet•Two HSMC interfaces•

Quartus II design software included with kitQuartus II development kit edition software, one-year license•

Linear Technology ComponentsLTM4601 12A DC/DC μModule systemLTC3026 1.5A low input voltage VLDO linear regulatorLTC2402 1 or 2-channel 24-bit μPower Delta-Sigma A/D converterLT1761 100mA, low noise, LDO micropower regulatorLT1374 4.5A, 500 kHz step-down switching regulator LT1931 1.2 MHz/2.2 MHz inverting DC/DC converters

Part Number Price

DK-DEV-3SL150N $2,495.00

Altera Stratix III FPGA Development Kit

Page 7: Altera Development Tools

7

GE

NE

RA

L PU

RP

OS

E

Arrow | Altera Development Tools

Parallel Flash16MB

Cyclone III FPGA

Switches4

LEDs4

USBBlaster

SSRAM1MB

HSMC

DDR32MB

The Cyclone III FPGA Starter Kit is easy to use and an ideal introduction for users who have never designed with FPGAs before. Experienced FPGA designers can build systems leveraging the design examples included in the kit for a quick “out-of-the-box” evaluation experience.

Development Kit ContentsAltera device

Cyclone III EP3C25F324 FPGA•

ConfigurationOn-board USB-Blaster using Quartus II Programmer•

General user input/outputSix pushbuttons total, four user controlled•Seven LEDs total, four user controlled•

Memory devices256 Mb of DDR SDRAM•1 MB of synchronous SRAM•16 MB of Intel P30/P33 flash•

Components and interfacesHSMC•USB Type B•

Quartus II design software included with kitQuartus II Web Edition software•

The Altera Cyclone III FPGA Development Kit combines the largest density low-cost, low-power FPGA available with a robust set of memories and user interfaces. Inside this development kit, you’ll find everything you need to begin Cyclone III FPGA development.

Development Kit ContentsAltera device

Cyclone III EP3C120F780 FPGA•

ConfigurationOn-board USB-Blaster using Quartus II Programmer•

General user input/output128 x 64 graphics LCD•2-line x 16-character LCD•

Memory devices256 MB of dual-channel DDR2 SDRAM with ECC•8 MB of synchronous SRAM•64 MB of flash•

Components and interfacesHSMC•USB 2.0 type B•10/100/1000 Ethernet•

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLTM4603 6A μModule DC/DC systemLTC3413 3A, 2MHz regulator for memory terminationLT1959 500 kHz step-down switching regulatorLT1117 800mA low dropout positive regulator

Linear Technology Components

LTM4601 12A μModule DC/DC system LT1963 1.5A, low noise, fast transient response LDO regulatorLT3481 6V, 2A, 2.8 MHz step-down switching regulatorLT1761 100mA, low noise, LDO micropower regulatorsLTC3418 8A, 4MHz, synchronous step-down regulatorLT1931A 1.2 MHz/2.2 MHz inverting DC/DC converterLTC2402 1 or 2 channel 24-bit μPower no latency A/D converter

Part Number Price

DK-START-3C25N $199.00

Part Number Price

DK-DEV-3C120N $1,495.00

Graphics LCD

10/100/1000Ethernet

RJ45Jack

Cyclone III FPGA

USB2.0

PowerMeasure/Display

MAX IICPLD (x32)

8MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2Dual Channel

(x72)

Buttons/Switches

Quad 7-Seg/User LEDs,

LP Filter andAudio Amp

125 MHzXTAL

2.5V CMOS

1.8V CMOS

SMA Input

1.8V SSTL

1.8V

CM

OS

50 MHzXTAL 1.

8V C

MO

S

2.5V CMOS

SMA OutputGraphics LCD

PCSpeakerHeader

2.5V CMOS

HSMC Port A

CM

OS

+ L

VD

S

HSMC Port B

CM

OS

+ L

VD

S

2.5V CMOS

Altera Cyclone III FPGA Starter Kit

Altera Cyclone III FPGA Development Kit

Page 8: Altera Development Tools

8

GE

NE

RA

L P

UR

PO

SE

Arrow | Altera Development Tools

Altera MAX II Development KitAltera’s MAX II Development Kit comes with a complete design environment. The kit enables you to evaluate the MAX II feature set or begin prototyping a design prior to receiving custom hardware. It includes all software, cables, and accessories needed to ensure an easy and productive evaluation of the MAX II CPLD.

Development Kit ContentsAltera device

MAX II EPM1270F256C5N CPLD•

Configuration USB-Blaster download programming cable •

General user input/output4-channel analog-to-digital converter (8-bit resolution) •16x2 character LCD module •Temperature gauge with serial peripheral interface (SPI) •Onboard power meter •Active I/O sense circuitry •Four user-defined, push-button switches •Four user-defined LEDs •

Memory devices SRAM (128K x 8 bit) •

Components and interfacesPCI edge form-factor (3.3- and 5.0-V tolerant) •JTAG connectors •USB connector (Type B) •One 3.3-V-tolerant expansion/prototype header (41 user I/O)•

Quartus II design software included with kitQuartus II Web Edition software•

Part Number Price

DK-MAXII-1270N $150.00

MAX II CPLD

User Pushbuttons4

LEDs4

PCIBus

LCD Display16x2 Character

USBInterface

DisplayBus

FIFOBus SRAM

128Kx8DataBus

AddressBus

TemperatureSensor

SPIBus

Reg

Reg

PowerSupply

ADC8 Bits

4 Channels

Amplifier

Power Distribution Controls

PowerIndicator

VCCINTInterrupt

With RampControl

A complete digital design lab at your fingertips. Equipped with an Altera MAX II EPM2210F324C3 device and on-board USB-Blaster circuit, the MAX II Micro Kit provides users the best and largest CPLD design resource. MAX II Micro board can also be used as a USB-Blaster cable (JTAG mode programming only) by leveraging its on-board USB-Blaster circuit.

Development Kit ContentsAltera device

MAX II EPM2210F324C3 CPLD•

Configuration On-board USB-Blaster using Quartus II programming •

General user input/outputFour pushbutton switches •One DIP switch •Eight user LEDs •

Memory devices None•

Components and interfacesPCI edge form-factor (3.3- and 5.0-V tolerant) •JTAG connectors •USB connector (Type B) •One 3.3-V-tolerant expansion/prototype header (41 user I/O)•

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLT1963 1.5A, low noise, fast transient response LDO

Part Number Price

P0305 $69.00

MAX II CPLD

Buttons4

LEDs8

50 MHz OSC

JTAGEPM3128FT245

USB Blaster

FT245 USB Power

3.3V/1.5A

x88 GPIO

Terasic MAX II Micro Development Kit

Page 9: Altera Development Tools

9

GE

NE

RA

L PU

RP

OS

E

Arrow | Altera Development Tools

The Terasic DE2-70 development board offers a rich set of features that make it suitable to be used to evaluate multimedia, storage, and networking interfaces equipped with almost 70,000 LEs from Altera Cyclone II EP2C70. Supporting materials include tutorials, laboratory exercises, and illustrative demonstrations.

Development Kit ContentsAltera device

EP2C70 Cyclone II FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/outputFour pushbutton switches •18 toggle switches •18 red user LEDs and 9 green user LEDs•

Memory devices 2-MB SSRAM •Two 32-MB SDRAM •8-MB Flash memory •SD Card socket•

Components and interfaces10/100 Ethernet Controller with a connector •USB Host/Slave Controller with USB type A and type B connectors •RS-232 transceiver and 9-pin connector•PS/2 mouse/keyboard connector •

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLTM4600 10A high-efficiency DC/DC μModuleLTM4603 6A DC/DC μModule with PLL, output tracking, marginingLT1963 1.5A, low noise, fast transient response LDO

Cyclone IIFPGA

USB 2.0 host/device

10/100 Ethernet PHY/MAC

SD Card

IrDA Transceiver

Flash (8 Mbyte)

SDRAM (64 Mbyte)

SRAM (2 Mbyte)

7-segment display (8)

Expansion headers (2)

50MHz/28MHz/Ext In

EPCS16Configuration

Device

USBBlaster

24-bit audio codec

XSGA 10-bit video DAC

TV decoder (2)

User Green LEDs (9)

User Red LEDs (18)

16x2 LCD Module

PS2 & RS232 Ports

DPDT switches (18)

Push button switches (4)

Terasic DE3 Development BoardThe Terasic DE3 development board is the perfect platform for creating your cutting-edge design in programmable logic. The DE3 board can be stacked up to 10 high to create a programmable fabric that is unequaled.

Development Kit ContentsAltera device

Stratix III EP3SL150 FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/outputFour user-defined, push-button switches •Four slide switches•One eight position DIP switch•Two seven-segment displays•Eight RGB LEDs•

Memory devices DDR2 SO-DIMM socket•SD Card socket•

Components and interfaces8 HSTC connectors•Two 40-pin Expansion Headers•1 USB Host/Slave controller(3-port USB Host/Device controller)•

Quartus II design software included with kitQuartus II development kit edition software, one-year license•

Linear Technology ComponentsLTM4601 12A DC/DC μModule systemLTM4604 Low voltage, 4A DC/DC μModule with trackingLT1963 1.5A, low noise, fast transient response LDOLTC3026 1.5A, low input voltage VLDO micropower regulator

Part Number Price

P0005_C3 $2,695.00

Part Number Price

P0304 $599.00

Terasic DE2-70 Development Board

Page 10: Altera Development Tools

10

GE

NE

RA

L P

UR

PO

SE

Arrow | Altera Development Tools

The SLS CoreCommander development kit comes with a suite of SLS IP Cores, drivers, and application software. Delivered as a complete package, the board and soft content ensures quick and easy implementation of industry leading cores with reduced risk and low cost. CoreCommander can also host several other Altera created IP cores and with a very little effort host IP cores from other manufacturers and developers.

Development Kit ContentsAltera device

Altera Cyclone III EP3C25F256 FPGA•

Configuration CoreCommander download (CCD) cable•

General user input/output1.7” 128x160 pixel TFT LCD with GRAM•Two user LEDs•Six user programmable pushbutton switches•

Memory devices 8 MB flash memory•32 MB SDRAM•1 GB SD Card•

Components and interfaces4 bit parallel SD Card interface•USB 2.0 ULPI/OTG Interface (NXP USB ISP1504 PHY)•USB 2.0 ULPI/Host Interface (NXP USB ISP1504 PHY)•Two USB mini AB type Cables•

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLTC3555 High efficiency USB power manager

Part Number Price

PL1EDB2000101 $395.00

SLS CoreCommander Development Kit

SLS Core Commander

USB-ULPI PHY(USB 2.0 OTG)

USB-ULPI PHY(USB 2.0 HOST)

Cyclone III FPGA

SD CardSocket

GPIOInterface

LEDs

EPCS16 JTAGInterface

UIB Interface

Flash SDRAM

USB AB StandardMini Connector

USB A StandardType Connector

CoreCommander

Interface

1.7” TFT LCD

Push ButtonSwitches

Cyclone III EmbeddedDevelopment Board

User InterfaceBoard

The SLS MAX IIZ board provides a hardware platform for designing and developing simple and low-end systems based on Altera MAX II/MAX IIZ devices. The MAX IIZ board features a MAX II/MAX IIZ EPM240T100Cx/EPM240ZM100Cx device with 240 LE and 8,192 bits of user flash memory (UFM).

Development Kit ContentsAltera device

Altera EPM240z CPLD•

Configuration On board logic for MAX II configuration (Quartus II compatible)•

General user input/output64 general purpose IOs •Two user InterfaceCapsense Buttons •Two pushbutton switches•

Memory devices None•

Components and interfacesUser interface header for motor drive and speaker drive•External battery interface header•

Quartus II design software included with kitQuartus II Web Edition software•

Part Number Price

PL1MAX2009100 $129.00

Altera MAX IIZ Development Kit

SLS MAX IIZ Development Kit

MAX IIZ CPLD

JTAG

SLS GPIOHeader (20x2)

2x MotorHeader

24 MHz

SpeakerHeader

2x CapsenseButtons

Power Onand Reset

Switch

2x PushButtons

SPI FlashMemory

Page 11: Altera Development Tools

11

GE

NE

RA

L PU

RP

OS

E

Arrow | Altera Development Tools

Arrow LPRP

Cyclone III FPGA8 ChannelADC

InstrumentationAmplifier

GPIOHeader

Buttons &LEDs

OLEDDisplay

CellularRAMFlash SD Flash

Dual DAC andVolume Control

PowerManagement uC

1.2V, 3.3V HeadphoneAmplifier

Headphone Jack

Lithium IonBattery

PowerCircuit

USBBlaster

JTAG

1.2V, 1.8V, 2.5V, 3.3V, 5V & 12V

USB

Power SupplyConnector

Arrow Low Power Reference Platform (LPRP)

Arrow Electronics, Altera Corporation, and Linear Technology have combined industry-leading power management solutions with a low power Cyclone III FPGA and board-level power management IP in the revolutionary LPRP. Using the LPRP kit, engineers can implement either an Altera Nios II processor or ARM Cortex M1 32-bit embedded processor inside the Cyclone III FPGA.The LPRP represents a complete Arrow solution

Takes advantage of low-power Altera Cyclone III FPGAs•Uses highly efficient power conversion solutions from Linear Technology•Utilizes power from and includes charging of Lithium Ion batteries•Demonstrates how to minimize power consumption in portable and •battery powered embedded systems.

Development Kit ContentsAltera device

Altera Cyclone III EP3C25F256 FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/output96 x 64 bit mapped display•Four user LEDs•Six user programmable pushbutton switches•

Memory devices 32 MB CFI parallel flash memory•8 MB cellular RAM memory•1 GB SD card (contains user guide and reference materials)•

Components and interfacesUSB connector (Type B)•40 pin user I/O connector•Audio jack connector•

Quartus II design software included with kitQuartus II Web Edition software•

The ARM Cortex-M1 FPGA Development Kit

Get your custom embedded solutions to market faster with: The ARM Cortex-M1 FPGA development kit •The Arrow LPRP development platform •Altera Cyclone III FPGA’s and SOPC Builder•

The ARM Cortex-M1 FPGA Development Kit Features: Low-cost ARM Cortex-M1 development kit including the ARM •RealView® Microcontroller development kit Seamless integration of ARM processor technology with the Altera •Quartus II and Altera SOPC tools Soft core 32-bit processor, optimized for FPGA implementation •Targets Altera Cyclone III FPGAs •SOPC Builder ready •

Development Kit Contents Cortex-M1 core •Keil MDK-ARM (limited to Cortex-M1 •and 64 KB) Quick-start tutorial •Example hardware and software •design projects

Linear Technology ComponentsLTC3455 Dual DC/DC converter with USB power manager and Li-Ion

battery chargerLT3473 Micropower 1A boost converter with output disconnectLTC6800 Rail-to-rail input and output, instrumentation amplifierLT1761 1.8 to 100mA, low noise, LDO micropower regulatorsLT1762 150 mA, low noise, LDO micropower regulatorLTC1863 Micropower, 3V, 12-bit, 8-channel 175ks/s A/D converter

Part Number Price

LPRP/ARM Bundle $1,995.00

FA110-KT-30001/LPRP-Bndle $1,746.00

LPRP $249.00

Arrow Low Power Reference Platform (LPRP) and ARM® Cortex™ Development Kit Bundle

Page 12: Altera Development Tools

12

EM

BE

DD

ED

Arrow | Altera Development Tools

The Nios II Embedded Evaluation Kit, Cyclone III Edition makes evaluating Altera’s embedded solutions easier than ever. A dozen different processor systems targeting the low-cost, low-power Cyclone III FPGA can be evaluated by simply using the LCD color touch panel to scroll through and load your demo of choice. The Nios II Embedded Evaluation Kit, Cyclone III Edition comes with a comprehensive suite for software development—the Nios II Embedded Design Suite (EDS)—as well as sample Nios II processor systems that include full source code. The Nios II Evaluation Kit, Cyclone III Edition is composed of the Cyclone III FPGA Starter Kit and an LCD/VGA HSMC daughtercard.

Development Kit ContentsAltera device

Altera Cyclone III EP3C25F324 FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/output800 x 480 color LCD touch-screen display•Six pushbuttons total, four user controlled •Seven LEDs total, four user controlled •

Memory devices 32MB of DDR SDRAM•1MB of synchronous SRAM•16MB of Intel P30/P33 Flash•

Components and interfacesVGA output •Composite TV-in •Audio-out, audio-in, and microphone-in •Secure Digital (SD) card •Serial connector (RS-232 DB9 port) •PS/2 •24-bit CD-quality audio CODEC with line-in, line-out, and microphone-in jacks •10/100 Ethernet physical layer/media access control (PHY/MAC) •

Quartus II design software included with kitQuartus II Web Edition software•ModelSim-Altera Web Edition •Nios II Embedded Design Suite •MicroC/OS-II real-time operating system evaluation •Nios II C-to-Hardware acceleration compiler evaluation •NicheStack TCP/IP Network Stack - Nios II Edition evaluation •MegaCore• ® IP Library (library of intellectual property cores)

Linear Technology ComponentsLTM4603 6A μModule DC/DC systemLTC3413 3A, 2MHz regulator for memory terminationLT1959 500 kHz step-down switching regulatorLT1117 800mA low dropout positive regulatorLT1963 1.5A, low noise, fast transient response LDOLT3461 1.3 MHz / 3 MHz step-up DC/DC converter

Part Number Price

DK-N2EVAL-3C25N $449.00

Altera Nios II Embedded Evaluation Kit, Cyclone III Edition

Cyclone III FPGA

Nios IIProcessor

System

MAX II CPLD

BusController

HS

MC

Con

nect

or

Buttons&

LEDs

CFI Flash

SSRAM

DDR SDRAM24-Bit Audio Codec

10-Bit VGA Video DAC

Video Decoder

I2C EEPROM

PS/2 & RS-232 Ports

Touch panel Module

10/100 Ethernet PHY

SD Card

Cyclone III FPGAStarter Board

LCD MultimediaDaughtercard

Page 13: Altera Development Tools

13

EM

BE

DD

ED

Arrow | Altera Development Tools

From a user-friendly touch screen LCD, simply scroll through and load any one of a dozen demonstrations that showcase the unique benefits of FPGAs in embedded systems.

Simply scroll and click to load your favorite demos•Add your favorite images to the digital photo frame•Play games and watch beautiful graphics•See first-hand the power of hardware acceleration•Build your first application on an FPGA-based processor•

The kit also includes software tutorials and design examples with full source code, as well as the Nios II Embedded Design Suite, a complete embedded development environment.Experience first-hand the advantages of embedded systems design with Nios II processors and Altera FPGAs:

Reduce BOM cost •Protect against obsolescence•Enhance performance and reduce power consumption through •hardware accelerationCreate custom user interfaces•

Learn moreAltera embedded processing page: • www.altera.com/embeddedNios Forum: • www.niosforum.comNios Wiki: • nioswiki.jot.com

Ready-to-run SD card demos can be loaded using the application selector utility, and are provided for demonstration and evaluation to showcase Altera’s embedded partners for operating systems, middleware, IP, and software tools.

Simplifying Embedded Design

Design Description

Web Server / Remote Configuration Design* HTTP web server application to serve up web pages from the kit and download new designs

Application Selector Utility* Select and load designs from the SD card

Mandelbrot Hardware Acceleration* The C2H Compiler transforms the C-based implementation of the Mandelbrot algorithm into hardware

Altera Video and Image Processing IP Suite Demo* Resize and scale a video input on the fly with this design that showcases the Altera VIP Suite

SLS uCLinux Demo Run the uCLinux operating system with a BSP available from SLS

TES D/AVE Graphics Accelerator Demo Graphics accelerator demo from TES Electronic Solutions

Altia Touch Screen HMI for D/AVE Graphics Engine Advanced touch-screen human-machine interface (HMI) application created for the TES D/AVE engine

IMAGEM Graphics Demos Multiple graphics and video demos from IMAGEM Technologies

Micrium Products Demos Multiple demos showcasing Micrium product portfolio

UNEEK Presenter Show presentations from the Nios II Evaluation Kit on a projector or monitor

Graphic Equalizer Visually control and manipulate audio input on the LCD touch panel

Picture Viewer* View photos stored on the SD card

MP3 Player Play MP3s on the Nios II Evaluation Kit from SD card

Page 14: Altera Development Tools

14

EM

BE

DD

ED

Arrow | Altera Development Tools

The Altera Embedded System Development Kit, Cyclone III Edition is a complete development platform for prototyping embedded systems on Altera’s low-cost, low-power FPGAs. As part of this development kit, you’ll find everything you need; hardware, example processor systems, software applications, FPGA and software development tools and documentation to accelerate embedded system development.

Development Kit ContentsAltera device

Altera Cyclone III EP3C120 FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/output128 x 64 graphics LCD and a 2-line x 16-character LCD•800 x 480 touch-screen LCD display•

Memory devices 256 MB of dual-channel DDR2 SDRAM with ECC •8 MB of pseudo SRAM •64 MB of flash •

Components and interfaces10/100/1000 Ethernet •2 HSMC connectors, USB connector •24-bit CD-quality audio codec •SD/MMC card connector •Composite video input and VGA out (10-bit DAC) •RS232 and PS/2 mouse/keyboard •USB 2.0/Mictor debug daughtercard •

Quartus II design software included with kitQuartus II Web Edition software•

Arrow BeMicro Evaluation KitBeMicro is a small low-cost hardware platform for all kinds of Altera FPGA design prototyping in a USB stick form factor. The BeMicro plugs into the USB host connector of a PC or a USB hub and it is powered from this source. BeMicro has an Altera USB-Blaster capability, allowing seamless integration into the Quartus FPGA design environment and the Nios IDE.

Development Kit ContentsAltera device

Altera Cyclone III (EP3C16F256) FPGA•

Configuration On-board USB-Blaster using Quartus II Programmer•

General user input/outputThree status LED •Eight user LEDs •

Memory devices 256k low-power SRAM•

Components and interfaces80-pin edge connector •

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLTM4601 12A DC/DC μModule

LT3481 36V, 2A, 2.8MHz step-down switching regulatorLT1761 100mA, low noise, LDO micropower regulatorsLTC3418 8A, 4MHz,monolithic synchronous step-down regLTC2402 1-/2-channel 24-bit μPower no latency convertersLT1963 1.5A, low noise, fast transient response LDOLT1931 1.2MHz/2.2MHz inverting DC/DC converter

Cyclone III FPGA

Nios IIProcessor

System

MAX II CPLD

BusController

HSM

C C

onne

ctor

Buttons&

LEDs

Flash

Max II CPLD

DDR2SDRAM

24-Bit Audio Codec

10-Bit VGA Video DAC

Video Decoder

I2C EEPROM

PS/2 & RS-232 Ports

LCD Touch panel

10/100 Ethernet PHY

SD Card

Cyclone III FPGAStarter Board

LCD MultimediaDaughtercard

10-Pin Header

ConfigurationController

HSM

C C

onne

ctor

Mictor Connector

USB 2.0 PHY

4-Wire SD Card

Santa Cruz Header

Santa Cruz-USB-Mictor

H2SUM

Part Number Price

DK-EMB-3C120N $1,995.00

Part Number

BeMicro Call Arrow for special pricing

Altera Embedded Systems Development Kit, Cyclone III Edition

Arrow BeMicro

Cyclone III FPGA

8 User LEDs

256kSRAM

80 PinEdge Connector

USB Interface

Associated workshops are available. Contact your Arrow sales representative.

Page 15: Altera Development Tools

15

I/O IN

TER

CO

NN

EC

T

Arrow | Altera Development Tools

512 MBitFlash

FPPConfiguration

ConfigurationStatus LEDs MAX II

EPM1270CPLD

ClockCircuitry

PGMSELJumper

2 ResetButtons

ButtonsSwitchesDisplays

16 Char x 2 Line LCD4 MenuButtons

RotarySwitch

8 User DIP6 UserButtons

8 UserLEDs

Stratix IV GX FPGA

Transceivers ConnectedTo SMA Connectors

AD

C

Tem

p

Dual TempSensor

24-bitADC

Flash

FPP Config

2-wire Ch1

2-wire Ch8

Pw

rgoo

d

PowerCircuitry

TDIO

DE

S

PowerMeasure

TempMeasure 5V Fan

MAX7064ACPLD

FTDI745BLUSBPHY

USBType BConn

USB-Blaster

Marvell88E1111Ethernet

PHY

RJ45+Magnetics

10/100/1000Ethernet

The Transceiver SI Development Kit, Stratix IV GX Edition enables evaluation of Stratix IV GX transceiver signal integrity. A customer can use this SI development kit to evaluate transceiver performance from 600 Mbps to 8.5 Gbps, and generate and check PRBS patterns via a simple-to-use graphical user interface (does not require Quartus software). Customers can also understand the effects of changing Vod, pre-emphasis and equalization settings, perform jitter analysis and verify PMA compliance to PCI Express (Gen1 and Gen 2), Serial Rapid IO, Gigabit Ethernet, 10 Gigabit Ethernet XAUI, CEI-6G, Serial RapidIO, HD-SDI, Fibre Channel 1G/4G/8G and other major standards.

Development Kit ContentsAltera device

Stratix IV GX EP4SGX230KF40C2N FPGA •

Configuration Fast passive parallel (FPP) configuration via a MAX II CPLD EPM2210 •and flash memory On-board USB-Blaster download cable using Quartus II Programmer •

General user input/output LEDs •LCD display •Pushbutton and DIP switches •

Memory devices 64 MB sync flash •

Components and interfaces Eight full duplex transceiver channels routed to SMA connectors, •including a “Golden Channel” routed on a micro-strip, six strip-line channels from the same transceiver block; all the trace lengths are matched across channels and a “Backplane Channel” ~34’’ trace length on transmit and ~6” trace length on receive to simulate the degradation associated with backplanes or long traces Power measurement circuitry on transceiver related supplies •Temperature measurement circuitry (die and ambient) •10/100/1000Base-T Ethernet PHY •

Quartus II design software included with kitQuartus II development kit edition software, one-year license •

Linear Technology ComponentsLTM4601 12A DC/DC μModule with PLL, output trackingLTM4616 Dual 8A per channel low VIN DC/DC μModuleLT3080 LDO adjustable 1.1A single resistor LTC3025 LDO 500mA μPower VLDO linear regulatorLT1761 LDO 100mA, low noiseLTC2418 8- or 16-channel 24-bit no latency delta sigma ADC

Altera Transceiver Signal Integrity Kit, Stratix IV GX Edition

Part Number Price

DK-SI-4SGX230N/C2 $2,995.00

Page 16: Altera Development Tools

16

I/O IN

TER

CO

NN

EC

T

Arrow | Altera Development Tools

The Altera Stratix IV GX FPGA Development Kit delivers a complete system-level design environment that includes both the hardware and software needed to immediately begin developing FPGA designs. With this PCI-SIG-compliant board and a one-year license for Quartus II design software, you can develop and test PCI Express 2.0 (up to x8 lane) endpoint and rootpoint designs. Develop and test memory subsystems consisting of DDR3 and/or QDRII+ memory or add other Stratix IV GX supported protocol interfaces such as 10-Gigabit Ethernet, CPRI, OBSAI, SAS/SATA, Serial RapidIO®, and many others through the high-speed mezzanine connectors (HSMC).

Development Kit ContentsAltera device

Stratix IV GX EP4SGX230KF40C2N FPGA •

Configuration Fast passive parallel (FPP) configuration via a MAX II CPLD EPM2210 •and flash memory On-board USB-Blaster download cable using Quartus II Programmer •

General user input/output LEDs •LCD display •Pushbutton and DIP switches •

Memory devices 512 MB DDR3 SDRAM with a 64-bit data bus •128 MB DDR3 SDRAM with a 16-bit data bus •Two 4 MB QDRII+ SRAMs with 18-bit data buses •64 MB sync flash and 2 MB SSRAM•

Components and interfaces USB 2.0 •PCI Express x8 edge connector •10/100/1000BASE-T Ethernet PHY with RJ-45 connector •Two HSMC connectors •HDMI video output •3G SDI video input and output •Power measurement circuitry •Temperature measurement circuitry•

Quartus II design software included with kitQuartus II development kit edition software, one-year license •

Linear Technology ComponentsLTM4601 12A DC/DC μModule with PLL, output trackingLTM4614 Dual 4A per channel output μModule LTM8021 36VIN, 500mA step-down DC/DC μModuleLT3080-1 Adjustable 1.1A single resistor LDOLTC3025-1 500mA μPower VLDO linear regulatorLTC3727-1 2-phase synchronous step-down regulatorLT3010 50mA, 3V to 80V low dropout μPower regulatorLTC3414 4A, 4MHz, monolithic step-down regulator LTC2418 8-/16-channel 24-bit no latency delta sigma ADCLTC6902 Multiphase oscillator with spread spectrumLTC4357 Positive high voltage ideal diode controllerLTC4358 5A ideal diodeLTC4352 Low voltage ideal diode controller with monitoringLTC4151 High voltage I2C current and voltage monitor

Altera Stratix IV GX FPGA Development Kit

Stratix IV GX FPGA

LEDs

ButtonSwitches

XCVRSMA Out

2MBQDRII + (TOP1)

128MBDDR3 (TOP)

2MBQDR II + (TOP0)

HSMC Port BHSMC Port AUSB2.0

AlteraMAX II

EmbeddedBlaster

SDITX/RX

512MBDDR3 (BOT)

REFCLKSMA in

TRIGSMA Out

GbEPHY

HDMITX

Oscillators:50M, 100M, 125M148M, 155M, 156M

PCIe EdgeConnector

MAX IICPLD

64MBFLASH

2MBSSRAM

Part Number Price

DK-DEV-4SGX230N/C2 $4,495.00

Page 17: Altera Development Tools

17

I/O IN

TER

CO

NN

EC

T

Arrow | Altera Development Tools

The Arria GX FPGA Development Kit delivers a complete environment for the development and testing of designs implementing high-speed serial interfaces in Arria GX FPGAs. This development kit is built on a PCIe form-factor card and targets the development of designs utilizing PCI Express x1 and x4, Gigabit Ethernet, and/or Serial RapidIO (SRIO) protocols.

Development Kit ContentsAltera device

Arria GX EP1AGX60DF780C6N FPGA•

Configuration USB-Blaster download cable •

General user input/outputFour user-definable, pushbutton switches •Eight-position, user-definable, dual in-line package (DIP) switch •Eight user-definable LEDs •One nCONFIG pushbutton •One power-on reset pushbutton•

Memory devices 32 MB x 16 DDR2 SDRAM •

Components and interfacesPCI Express x4 edge connector (OpenCore Plus support of PCI-•Express compiler: x1 and x4, triple-speed Ethernet and SRIO)One HSMC connector for gigabit Ethernet or SRIO connectivity via •optional HSMC-based daughtercards

Quartus II design software included with kitQuartus II Web Edition software•

Linear Technology ComponentsLTC4416 36V, low loss dual PowerPath controllerLTC3026 1.5A low input voltage VLDO linear regulatorLT1963 1.5A, low noise, fast transient response LDOLTC3728 Dual, 550 kHz, 2-phase synchronous regulator

Part Number Price

DK-DEV-1AGX60N $995.00

62.5 MHz 100.000 MHz 125 MHz

DDR2 SDRAMMT47H32M16

TX/RX LEDsUser LEDs

HSMC Port

MAX II

512 MB Flash

3.3V CMOS

3.3V CMOS

3.3V CMOS

1.8V SSTL

4x X

CV

R

CM

OS

/LV

DS

Arria GX FPGA

ButtonsSwitches

X4 PCIe EdgeConnector

GXAltera Arria GX FPGA Development Kit

Page 18: Altera Development Tools

18

DS

P

Arrow | Altera Development Tools

The DSP Development Kit, Stratix III Edition delivers a complete digital signal processing (DSP) development environment for design engineers. The DSP Development Kit, Stratix III Edition includes the Stratix III development board, the Data Conversion HSMC, the DSP Builder development tool, Quartus II development software, MATLAB/Simulink evaluation software, evaluation intellectual property (IP) cores, design examples, power supplies, cables, and documentation.

Development Kit ContentsAltera device

Stratix III EP3SL150F1152 FPGA •

Configuration On-board USB-Blaster download cable using Quartus II Programmer •

General user input/output128 x 64 dot pixels graphics display •LCD (16 character x 2 line) •

Memory devices 128 MB DDR2 SDRAM DIMM •16 MB DDR2 SDRAM devices (individually addressable) •36 Mb QDRII SRAM device •8 MB PSRAM •64 MB flash memory •

Components and interfacesUSB 2.0 MAC/PHY •10/100/1000 Ethernet •Two high-speed mezzanine connector (HSMC) interfaces •SMA input and output•

Quartus II design software included with kitQuartus II development kit edition software, one-year license•DSP Builder •MATLAB/Simulink 30-day evaluation software •

Linear Technology ComponentsLTM4601 12A DC/DC μModule LTC3026 1.5A low input voltage VLDO linear regulator LT1761 100-mA, low noise, LDO micropower regulatorLT1374 4.5A, 500-kHz step-down switching regulator LT1931 1.2MHz/2.2MHz inverting DC/DC converterLTC2402 1or 2 channel 24-Bit μPower No Latency Delta-Sigma Converter

Part Number Price

DK-DSP-3SL150N $2,895.00

Altera DSP Development Kit, Stratix III Edition

72 Mbit QDRII/+18/18

14-Pin LCDHeader

10/100/1000Ethernet

RJ45Jack

Stratix III FPGA

USB2.0

PowerMeasure/Display

MAX IIDevice (x16)

4MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2(x72)

16MBDDR2(x8)

16MBDDR2(x8)

Quad 7-Seg/User LEDs,

Buttons,Switches

Graphics DisplayHeader

160 PinHSMCConn

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

Stereo AudioCodec

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

SICKT

SICKT

SICKT

SICKT

SICKT

SMA

SMA

SMA

SMA

SMA

DATA

CNTL

ADA_DCO

DATA

CNTL

ADB_DCO

Analog to DigitalChannel B

Analog to DigitalChannel A

DATA

CNTL

DATA

DATA

Digital to Analog Channel A

Digital to Analog Channel B

External Clock OutputCLK_ACLK_B

ADA_DCOADB_DCO

CM

OS

+ L

VD

S

SM

A O

utpu

t125 MHzXTAL

1.5V

HS

TL

2.5V CMOS

1.8V CMOS

SMA Input

1.8V CMOS

1.8V

SS

TL

50 MHzXTAL 1.

8V C

MO

S

2.5V

CM

OS

1/8”PhoneJack

1/8”PhoneJack

1/8”PhoneJack

Line inMike inLine out

Headphone

CLK_A

CLK_B

XT_CLK

1/8”PhoneJack

Page 19: Altera Development Tools

19

DS

P

Arrow | Altera Development Tools

The DSP Development Kit, Cyclone III Edition delivers a complete DSP development environment for design engineers. The DSP Development Kit, Cyclone III Edition includes the Cyclone III development board, the Data Conversion HSMC, the DSP Builder development tool, Quartus II development software, MATLAB/Simulink evaluation software, evaluation IP cores, design examples, power supplies, cables, and documentation.

Development Kit ContentsAltera device

Cyclone III EP3C120F780 FPGA•

Configuration On-board USB-Blaster download cable using Quartus II Programmer •

General user input/output128 x 64 graphics LCD •2-line x 16-character LCD •Buttons, dip-switches and LEDs•7-segment display•Speaker header •

Memory devices 256 MB of dual-channel DDR2 SDRAM with ECC •8 MB of synchronous SRAM •64 MB of flash •

Components and interfaces10/100/1000 Ethernet (RGMII) •USB 2.0 (Type B) •Two HSMC connectors•Dual 14-bit, 150 ms/s A/D converter•Dual 14-bit, 250 ms/s D/A converter•Audio in/out/mic•

Quartus II design software included with kitQuartus II Web Edition software•MATLAB/Simulink evaluation software •

Linear Technology ComponentsLTM4601 12A μModule DC/DC system LT1963 1.5A, low noise, fast transient response LDOLT3481 6V, 2A, 2.8 MHz step-down switching regulatorLT1761 100mA, low noise, LDO micropower regulatorLTC3418 8A, 4 MHz, synchronous step-down regulatorLT1931A 1.2 MHz/2.2 MHz inverting DC/DC converterLTC2402 1or 2 channel 24-bit μPower Delta-Sigma A/D converter

Part Number Price

DK-DSP-3C120N $1,895.00

Altera DSP Development Kit, Cyclone III Edition

Graphics LCD10/100/1000

Ethernet

RJ45Jack

Cyclone III FPGA

USB2.0

PowerMeasure/Display

MAX IIDevice (x32)

4MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2(x72)

Buttons/Switches

Quad 7-Seg/User LEDs,

LP Filter andAudio Amp

160 PinHSMCConn

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

Stereo AudioCodec

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

SICKT

SICKT

SICKT

SICKT

SICKT

SMA

SMA

SMA

SMA

SMA

DATA

CNTL

ADA_DCO

DATA

CNTL

ADB_DCO

Analog to DigitalChannel B

Analog to DigitalChannel A

DATA

CNTL

DATA

DATA

Digital to Analog Channel A

Digital to Analog Channel B

External Clock OutputCLK_ACLK_B

ADA_DCOADB_DCO

2.5V

CM

OS125 MHz

XTAL

2.5V

CM

OS

2.5V CMOS

1.8V CMOS

SMA Input

1.8V CMOS

1.8V

CM

OS

50 MHzXTAL 1.

8V C

MO

S

2.5V

CM

OS

1/8”PhoneJack

1/8”PhoneJack

1/8”PhoneJack

Line inMike inLine out

Headphone

CLK_A

CLK_B

XT_CLK

1/8”PhoneJack

SMAOutput

Graphics LCD

PCSpeakerHeader

DK-DSP-3C120N

Page 20: Altera Development Tools

20

HS

MC

DA

UG

HTE

RC

AR

DS

Arrow | Altera Development Tools

HSMC to AMC Carrier

Description

The HSMC to AMC Carrier board is an HSMC (High-Speed Mezzanine Connector) to AMC (AdvancedMC) convertor allowing interface to boards using standards such as PCI Express, Advanced Switching (AS), Serial RapidIO (SRIO) and Gigabit Ethernet. The board follows PICMG AMC.0 R2.0 requirements.

FeaturesFour fat pipe channels•Four common option channels •

Price: $349 Part Number: PL1S0140000100

HSMC E-Gasket Snap On Board

Description

The HSMC E-Gasket board provides an easy interface to the Altera standard Santa Cruz (SC) header. The purpose of this interface is to allow the usage of the Santa Cruz snap-on boards with the host boards having HSMC interface.

FeaturesInterface between HSMC and Santa Cruz •expansion connectorsTwo sets of Santa Cruz expansion connectors•Four SMA connectors for external clock•One external power supply connector•

Price: $89 Part Number: PL1S0120000100

Data Conversion HSMC Snap On Board

Description

The Data Conversion HSMC can be used for developing DSP applications with Altera Development boards that feature the High Speed Mezzanine Card (HSMC) connector. The Data Conversion HSMC is created to provide a set of Analog to Digital and Digital to Analog interfaces including an Audio Codec interface

FeaturesTwo 14-bit analog-to-digital (A/D) converter •channels with150 MSPSTwo 14-bit, 250 MSPS, 70 dB digital-to-analog •(D/A) convertersOne audio CODEC with input, output, and •amplified outputExternal clock in Interface•External clock out Interface•

Price: $440 Part Number: PL1S0150000100

Altera Corporation and its partners offer a variety of application-specific daughtercards. You can use these daughtercards to expand the functionality of the development platforms featured in this brochure. Reference designs and application-specific software accompany many of the daughtercards, further facilitating the design process.

HSMC Connectors

HSMC connectors provide the interface between a host board and a mezzanine card. The ‘header’ part on a mezzanine card plugs into the ‘socket’ part on a host board. Various connector foot prints are allowed, but all HSMC connectors must adhere to the defined dimensional envelopes and electrical properties described in Chapter 2, Mechanical and Chapter 3, Electrical.

Development Board Daughtercards

HSMC Daughtercards from SLS

HSMC to AMC Module

Description

The HSMC to AMC Carrier board is an HSMC (High-Speed Mezzanine Connector) to AMC (AdvancedMC) convertor allowing interface to boards using standards such as PCI Express, Advanced Switching (AS), Serial RapidIO (SRIO) and Gigabit Ethernet. The board follows PICMG AMC.0 R2.0 requirements.

FeaturesFour fat pipe channels•Four common option channels•Compatible with the SLS HSMC to AMC •carrier board

Price: $349 Part Number: PL1S0130000100

Page 21: Altera Development Tools

21

HS

MC

DA

UG

HTE

RC

AR

DS

Arrow | Altera Development Tools

Check out the complete list of Development Board Daughtercards at:

http://www.altera.com/products/devkits/kit-daughter_boards.jsp

Review the HSMC Specification at:

http://www.altera.com/literature/ds/hsmc_spec.pdf

HSMC Daughtercards from Terasic

SDI Transceiver HSMC Board

Description

The Serial Digital Interface (SDI) Transceiver HSMC Board provides a hardware platform for developing video broadcast systems. This board is intended to be used by customers to implement SDI and AES systems with transceiver based host boards.

FeaturesSupport triple rate 3G/HD/SD SDI standard•Two SDI inputs and outputs•Two AES inputs and outputs•SDI clean up PLL and an AES PLL•

Price: $490 Part Number: P0039

High-Speed A/D and D/A Daughterboard Description

The high-speed A/D and D/A daughterboard is designed to support DSP solutions on the Altera Cyclone III Starter Kits, or other boards with HSMC connectors. Target applications include:

Low-cost oscilloscope and pattern generator•Communication Transceiver•Platform for various modulation techniques•

FeaturesDual AD channels with 14-bit resolution and •data rate up to 65 MSPSDual DA channels with 14-bit resolution and •data rate up to 125 MSPSClock sources include 100MHz oscillator, SMA •for AD and DA each, and PLL from either HSMC or GPIO interface

Price: $219 Part Number: P0003

High-Definition Multimedia Interface Description

The HDMI daughter board is an HDMI transmitter/receiver board with HSTC (High Speed Terasic Connector) interface. The transmitter and receivers are compliant with HDMI 1.3a, HDCP 1.2, and DVI 1.0 specifications. The kit includes a reference design to control the HDMI board from a Terasic DE3 development kit.

FeaturesOne HSTC interface•One HDMI transmitter with single transmitting port•One HDMI receiver with dual receiving ports•Two 2K EEPROM for storing EDID of two •receiver ports separatelyPowered from 3.3V pins of HTSC connector•

Price: $299 Part Number: P0014

SFP HSMC Board Description

The Small Form-Factor Pluggable (SFP) Board allows the evaluation of SFP Modules with Stratix IV GX, Arria GX, and Arria II GX development kits in SGMII Ethernet, Fibre Channel, CPRI/OBSAI, and SONET applications. Four transceiver channels are routed to dedicated transceiver connections on the HSMC, four are connected to LVDS signals on the HSMC.

FeaturesFour transceiver based SFP connectors•Four LVDS based SFP connectors•Two transceiver receive SMAs•Two Transceiver Transmit SMAs•One LVDS clock input SMA pair•Two single-ended clock output SMAs•One LVDS clock output SMA pair•One LVPECL clock output SMA pair•

Price: $590 Part Number: P0040

Page 22: Altera Development Tools

22

DE

SIG

N S

OFT

WA

RE

Arrow | Altera Development Tools

Quartus II Design Software

Quartus II design software features summary

Des

ign

flow

met

hodo

logy

Incremental compilation Improves design timing closure and reduces design compilation times up to 70 percent. Supports team-based design.

Up-front I/O assignment and validation Enables PCB layout to begin earlier.

Pin planner Eases the process of assigning and managing pin assignments for high-density and high pin-count designs.

SOPC Builder Automates adding, parameterizing, and linking IP cores—including embedded processors, coprocessors, peripherals, memories, and user-defined logic.

Off-the-shelf IP cores Lets you construct your system-level design using IP cores from Altera’s megafunction library and from Altera’s third-party IP partners.

Parallel development of FPGA prototypes and ASICs Allows for FPGA prototypes and HardCopy ASICs to be designed in parallel using the same design software and IP.

Scripting support Supports command-line operation and Tcl scripting, as well as GUI design processing.

Perf

orm

ance

and

tim

ing

cl

osur

e m

etho

dolo

gy

Physical synthesis optimization Uses post place-and-route delay knowledge of a design to improve performance.

Design space explorer (DSE) Increases performance by automatically iterating through combinations of Quartus II software settings to find optimal results.

Extensive cross-probing Unmatched support for cross-probing between verification tools and design source files.

Optimization advisors Provides design-specific advice to improve design timing performance, resource usage, and power consumption.

Timing closure floorplan editor Enables analysis of timing data in the floorplan.

Chip planner Reduces verification time (while maintaining timing closure) by enabling small, post place-and-route design changes to be implemented in minutes.

RTL viewer and technology map viewer Provides schematic representation that can be used to analyze a design’s structure before and after its implementation.

Verifi

catio

n

TimeQuest timing analyzer Create, manage, and analyze complex timing constraints, and quickly perform advanced timing verification with TimeQuest, an ASIC-strength timing analysis tool with native SDC support.

SignalTap® II embedded logic analyzer Supports the most channels, fastest clock speeds, largest sample depths, and most advanced triggering capabilities available in an embedded logic analyzer.

PowerPlay technology Enables you to accurately analyze and optimize both dynamic and static power consumption.

SignalProbe routing Routes an internal node to an unused or reserved pin for analysis with an external scope or logic analyzer.

Third

-par

ty

supp

ort

EDA partners Offers EDA software support for synthesis, functional and timing simulation, static timing analysis, board-level simulation, signal integrity analysis, and formal verification.

If you’re looking for a design environment that will quickly move you from concept to creation, choose Altera’s Quartus II design software. Number one in performance and productivity for CPLD, FPGA, and ASIC designs, Quartus II software offers complete, automated system definition and implementation, all without requiring lower-level HDL or schematics. This capability—plus its seamless integration with leading EDA software tools and flows—will help turn your ideas into working systems in minutes.

Free software package includes:Quartus II Web Edition software•

Support for MAX series CPLDs, and Cyclone series and –Arria FPGAs•

Support for Windows (32-bit) operating system –Nios II Embedded Design Suite•ModelSim• ®-Altera Starter Edition simulation software

Optional upgrade: ModelSim-Altera Edition for faster simulation –Evaluation of the Altera MegaCore• ® IP library

Altera subscription program

Altera’s subscription program offers a comprehensive suite of premium software and IP products. Included in the subscription are:

Quartus II Subscription Edition software•Support for all Altera devices –Support for Windows (32- and 64-bit), and Linux (32- and 64-bit) –

operating systemsSupport for enhanced productivity features•

Incremental compilation for faster compile times –Multi-processor support for faster compile times –

Nios II Embedded Design Suite (EDS)•ModelSim–Altera Starter Edition simulation software•

Optional upgrade: ModelSim-Altera Edition for faster simulation –Complete IP base suite, which includes full licenses to the following •Altera functions:

FIR Compiler –NCO Compiler –FFT Compiler –DDR SDRAM Controller –DDR SDRAM High-Performance Controller –DDR2 SDRAM Controller –DDR2 SDRAM High-Performance Controller –DDR3 SDRAM High-Performance Controller –QDR II SRAM Controller –RLDRAM II Controller –SerialLite II –

For more informationQuartus II software www.altera.com/quartus2

Quartus II download www.altera.com/download

Quartus II literature www.altera.com/literature

Training www.altera.com/training

Quartus II Software

Subscription Edition Web Edition

Devices: All MAX and Cyclone series; Arria GX series

Features: 100% 95%

Distribution: Download and DVD Download and DVD

Cost: Paid license Free - no license required

Operating system support:

Windows and Linux (32- and 64-bit) Windows (32-bit only)

Page 23: Altera Development Tools

23

DE

SIG

N S

OFTW

AR

E

Arrow | Altera Development Tools

IP selection and parameter selection

Select and parameterize off-the-shelf IP from Altera and our partners or create your own custom components. Off-the-shelf IP includes the Nios II processor, memory interfaces, common embedded system peripherals, bridges and interfaces, DSP IP, and hardware accelerator peripherals.

System interconnect fabric generation

Uses an optimal interconnect fabric created specifically for the requirements of each system Integration tasks automatically performed by SOPC

Builder include:Data-path multiplexing between •design blocks•Address decoding•Wait-state generation•Dynamic bus sizing•Interrupt priority assignment•Clock domain crossing to connect •peripherals or systems operating on different clock domains

Component editor Allows you to create your own custom SOPC Builder components

IP reuse Reuse any custom-created IP core designed for SOPC Builder in future products

Testbench generation Outputs testbench suites to test-generated systems

Header file generation Outputs a custom header file based on the memory map and components of the generated system

Part Number Price

FIXEDPC $2,495.00

FLOAT ALL $2,995.00

ADD-FLOAT ALL $2,995.00

RENEWAL $2,495.00

IPT-DSPBUILDER $1,995.00

SOPC Builder

SOPC Builder is a Quartus II IP integration tool that enables you to quickly and easily build systems in minutes. Using SOPC Builder, you can focus on your custom user logic design, differentiating functions by eliminating manual system integration tasks. In addition to your custom logic, you can select common functions from the Altera or Altera partner IP core libraries to include in your system. SOPC Builder automatically generates interconnect logic to make the system work optimally and creates a testbench to verify functionality, saving valuable design time.

Peripheral expansion of stand-alone processors

SOPC Builder includes a component editor feature so you can easily interface to nearly any external processor or DSP device. If you create an SOPC Builder component interface to your processor, you can add additional I/O pins, prepackaged peripherals, or custom, self-made peripherals in just a few mouse clicks. SOPC Builder will build the system and output header files for your software development team. Your team can then access the peripherals from the external processor using their preferred integrated development environment.

Connecting to ASSPs or CPUs

Many ASSPs and processors include standard interface protocols, such as PCI, PCI Express, Serial RapidIO®, SPI, and I2C. SOPC Builder and SOPC Builder-ready IP let you easily connect your FPGA system to popular external ASSPs and CPUs using the standard interface protocols.

SOPC Builder features summary

DSP Builder

Altera’s DSP Builder creates a seamless bridge between the MATLAB/Simulink tool and Altera’s Quartus II software, giving FPGA designers the algorithm development, simulation, and verification capabilities of MATLAB/Simulink system-level design tools. If your stand-alone DSP processor is running out of computational horsepower, FPGAs can solve the problem. FPGAs speed up your system with massive DSP horsepower that efficiently implements DSP algorithms in applications such as motion estimation, complex video processing, OFDM-MIMO processing in basestations, and single data rate (SDR) algorithms.

Altera provides the industry’s most comprehensive portfolio of solutions for implementing your high-performance DSP design in our FPGAs:

DSP Builder—A MATLAB/Simulink-based design tool that enables •system-level designComprehensive collection of intellectual property (IP) functions for •signal processing, including the largest suite of IP for video processing and floating-point operationsLarge portfolio of DSP reference designs for wireless, highdefinition •video, and other signal processing applicationsRange of DSP development kits to speed up design•

Designing DSP applications in FPGAs requires both high-level algorithm development and HDL development tools. Altera’s DSP Builder integrates these tools by combining the algorithm development, simulation, and verification capabilities of MATLAB/Simulink system-level design tools with VHDL synthesis, simulation, and the hardware debugging capabilities of the Quartus® II development tool.

DSP Design Flow Overview

Page 24: Altera Development Tools

24

Save $500 on Altera IP suite bundles

Limited-time offer

Buy an Altera® development kit or Quartus® II design software at list price, and get the following Altera intellectual property (IP) suites for only $495 each:

• IP Base Suite

• Embedded IP Suite

• Video and Image Processing Suite

This offer, good until Dec. 31, 2009, is valid only if the discounted IP suite is purchased at the same time as an Altera development kit or Quartus II software. Take advantage of this offer today and get started on your design!

Questions? Contact your local Arrow sales representative or FAE.

Inside the IP suitesSuite IP cores included Product code List

priceDiscounted

price

IP Base Suite (included with Quartus II Subscrip -tion Edition)

• Finite impulse response (FIR), fast Fourier transform (FFT), and numerically controlled oscillator compilers

• SerialLite II

• DDR and DDR2 SDRAM controllers

• DDR, DDR2, DDR3 SDRAM high-performance controllers

• RLDRAM II controller

IPS-BASE-PROMO

$995 $495

Embedded IP Suite • Nios® II CPU IP core

• Triple-speed Ethernet MegaCore® function

• DDR/DDR2 memory controllers

• NicheStack TCP/IP Network Stack – Nios II Edition

IPS-EMBEDDED/PROMO

$995 $495

Video and Image Processing Suite

• Color space converter

• Chroma resampler

• 2D FIR filter

• Alpha blending mixer

• Scaler

• Deinterlacer

• Test pattern generator

• Line buffer compiler

• Clipper

• Color plane sequencer

• Frame buffer

• 2D median filter

• Gamma corrector

• Clocked video input/output

IPS-VIDEO/P $995 $495

arrowIPflier.indd 1 6/9/2009 1:27:59 PM

Page 25: Altera Development Tools

25

LINEA

R TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Working closely with Altera and strategic board partners, Linear Technology proudly presents its tested and approved solutions for Altera FPGA and CPLD-based systems. The following pages highlight several Linear Technology devices used on Altera development boards.

Linear Technology’s Tested and Approved Solutions for Altera

Core Voltage, IO, and High Speed Serial IO Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTM4600 10A High Efficiency DC/DC μModule x

LTM4601 12A DC/DC μModule with PLL, Output Tracking and Margining x x x

LTM4603 6A DC/DC μModule with PLL, Output Tracking and Margining x

LTM4604 Low Voltage, 4A DC/DC μModule with Tracking x

LTM4605 High Efficiency Buck-Boost DC/DC μModule x

LTM4612 Ultralow Noise 36Vin, 15Vout, 5A, DC/DC μModule x x

LTM4614 Dual 4A per DC/DC μModule x

LTM4616 Dual 8A per Channel Low VIN DC/DC μModule x

LTM8023 2A, 36V DC/DC μModule x

LT1054 Switched-Capacitor Voltage Converter with Regulator x x

LT1085 7.5A, 5A, 3A Low Dropout Positive Adjustable Regulators x

LT1374 4.5A, 500kHz Step-Down Switching Regulator x

LT1761 100mA, Low Noise, LDO Micropower Regulators in SOT-23 x x

LT1762 150mA, Low Noise, LDO Micropower Regulators x

LT1764 3A, Fast Transient Response, Low Noise, LDO Regulators x

LT1931 1.2MHz/2.2MHz Inverting DC/DC Converters in ThinSOT x x

LT1959 4.5A, 500kHz Step-Down Switching Regulator x

LT1963 1.5A, Low Noise, Fast Transient Response LDO Regulators x x x x

LT3010 50mA, 3V to 80V Low Dropout Micropower Regulator x

LT3080(-1) Parallelable 1.1A Adjustable Single Resistor Low Dropout Regulator x x

LT3461 1.3MHz/3MHz Step-Up DC/DC Converters with Integrated Schottky in ThinSOT x

LT3473 Micropower 1A Boost Converter with Schottky and Output Disconnect x

LT3481 36V, 2A, 2.8MHz Step-Down Switching Regulator with 50μA Quiescent Current x

LTC1735 High Efficiency Synchronous Step-Down Switching Regulator x

LTC1778 Wide Operating Range, No RSENSE Step-Down Controller x x

LTC3025(-1) 500mA Micropower VLDO Linear Regulator x

LTC3026 1.5A Low Input Voltage VLDO Linear Regulator x x

LTC3414 4A, 4MHz, Monolithic Synchronous Step-Down Regulator x x

LTC3418 8A, 4MHz, Monolithic Synchronous Step-Down Regulator x

LTC3455 Dual DC/DC Converter with USB Power Manager and Li-Ion Battery Charger x

LTC3727 High Efficiency, 2-Phase Synchronous Step-Down Switching Regulators x

LTC3728 Dual, 550kHz, 2-Phase Synchronous Step-Down Switching Regulator x x

DDR Memory Termination Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTC3413 3A, 2MHz Monolithic Synchronous Regulator for DDR/QDR Memory Termination x x

ADC & DAC Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTC2402 1-/2-Channel 24-Bit μPower No Latency Delta-Sigma ADC in MSOP-10 x x

LTC1863 Micropower, 3V, 12-Bit, 8-Channel 175ksps ADCs x

LTC2418 8-/16-Channel 24-Bit No Latency Delta Sigma ADCs x x

Signal Conditioning and Timing Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTC6800 Rail-to-Rail Input and Output, Instrumentation Amplifier x

LTC4151 High Voltage I2C Current and Voltage Monitor x

LTC6902 Multiphase Oscillator with Spread Spectrum Frequency Modulation x

LTC6908 Dual Output Oscillator with Spread Spectrum Modulation x

Interface Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTC1386 3.3V Low Power EIA/TIA562 Transceiver x

Other System Level Solutions Cyclone Series Arria Series Stratix Series MAX Series

LTC1326 Micropower Precision Triple Supply Monitor x

LTC2901-1 Programmable Quad Supply Monitor with Adjustable Reset and Watchdog Timers x

LTC4416 36V, Low Loss Dual PowerPath Controllers for Large PFETs x

LTC4357 Positive High Voltage Ideal Diode Controller x

LTC4358 5A Ideal Diode x

LTC4352 Low Voltage Ideal Diode Controller with Monitoring x

Page 26: Altera Development Tools

26

LTM4606

VIN4.5V to 28V

VOUT @ 6A0.6V to 5.5V

Frequency (MHz)

0

Emis

sion

s Le

vel (

dBµV

/m)

10

30

50

90

70

10009008007006005004003002001000

CISPR22, CLASS B

Frequency (MHz)0.15

0

Sign

al A

mpl

itude

(dBµ

V)

20

40

60

80

10

30

50

70

1 3010

CIS25QP

Qualified for Fast I/OSERDES, Transceivers

Meets CISPR 22 Class B(Radiated Noise)

Meets CISPR 25 Level 5(Conducted Noise)

Low EMI DC/DC µModule Family

, LTC, LT and LTM are registered trademarks and µModule is atrademark of Linear Technology Corporation. All other trademarksare the property of their respective owners.

Linear’s new family of DC/DC µModuleTM regulators is designed for noise-sensitive systems that require lower EMI to meetradiation standards or lower BER (Bit Error Rate) in multigigabit/second data transmission systems. Noise is attenuatedwith onboard input filters, controlled slew rate, shielded inductors and careful layout. This system-in-a-package regulatorfamily has been certified for radiated and conducted noise. The LTM®4606 has passed jitter tolerance tests for high speeddata transmission in SERDES applications.

Info & Free SamplesLow Noise DC/DC µModule Regulators

LTM®4606 4.5V to 28V

*I/O voltages require less than 2.5V. See LTM4606. Use LTM4612 for low noise intermediate bus voltages ( 3.3V).

Part Number

Narrow Voltage Range

Wide Voltage Range

PowersMultigigabitTransceivers

SERDES,High Speed I/O

Pin Compatible15mm x 15mm x 2.8mm

LGA PackageVIN Range

0.6V to 5.5V

VOUT Range

6A

IOUT

CISPR 22Class B

LTM4612 4.5V to 36V N/A*3.3V to 15V 5A CISPR 22Class B

EMI www.linear.com/ulow

1-800-4-LINEAR

Simple Power Conversion for Noise-Sensitive Systems

V E R I F I E D

T O P O W E R

Multigigabit

Transceivers

DC/DC µModulePower SupplySolutions

www.linear.com/chronicle/micromodule

Page 27: Altera Development Tools

27

LINEA

R TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

LTM4606

VIN4.5V to 28V

VOUT @ 6A0.6V to 5.5V

Frequency (MHz)

0

Emis

sion

s Le

vel (

dBµV

/m)

10

30

50

90

70

10009008007006005004003002001000

CISPR22, CLASS B

Frequency (MHz)0.15

0

Sign

al A

mpl

itude

(dBµ

V)

20

40

60

80

10

30

50

70

1 3010

CIS25QP

Qualified for Fast I/OSERDES, Transceivers

Meets CISPR 22 Class B(Radiated Noise)

Meets CISPR 25 Level 5(Conducted Noise)

Low EMI DC/DC µModule Family

, LTC, LT and LTM are registered trademarks and µModule is atrademark of Linear Technology Corporation. All other trademarksare the property of their respective owners.

Linear’s new family of DC/DC µModuleTM regulators is designed for noise-sensitive systems that require lower EMI to meetradiation standards or lower BER (Bit Error Rate) in multigigabit/second data transmission systems. Noise is attenuatedwith onboard input filters, controlled slew rate, shielded inductors and careful layout. This system-in-a-package regulatorfamily has been certified for radiated and conducted noise. The LTM®4606 has passed jitter tolerance tests for high speeddata transmission in SERDES applications.

Info & Free SamplesLow Noise DC/DC µModule Regulators

LTM®4606 4.5V to 28V

*I/O voltages require less than 2.5V. See LTM4606. Use LTM4612 for low noise intermediate bus voltages ( 3.3V).

Part Number

Narrow Voltage Range

Wide Voltage Range

PowersMultigigabitTransceivers

SERDES,High Speed I/O

Pin Compatible15mm x 15mm x 2.8mm

LGA PackageVIN Range

0.6V to 5.5V

VOUT Range

6A

IOUT

CISPR 22Class B

LTM4612 4.5V to 36V N/A*3.3V to 15V 5A CISPR 22Class B

EMI www.linear.com/ulow

1-800-4-LINEAR

Simple Power Conversion for Noise-Sensitive Systems

V E R I F I E D

T O P O W E R

Multigigabit

Transceivers

DC/DC µModulePower SupplySolutions

www.linear.com/chronicle/micromodule

DC/DC µModule® SystemsDC/DC Regulator Circuits in Surfacemount Packages

Part Number

VIN Min (V)

VIN Max (V)

VOUT Min (V)

VOUT Max (V)

Output Current

(A)PLL Track,

Margin Remote Sense

Current Share

LGA Package Dimension

(mm)Comments

High Current Buck (Step-Down)

LTM4602 4.5 20 0.6 5 6Up to 2

15 x 15 x 2.8

Pin compatible with LTM4600, LTM4600HVLTM4602HV 4.5 28 0.6 5 6

LTM4603 4.5 20 0.6 5 6 • • •Up to 4 Pin compatible with LTM4601,

LTM4601HVLTM4603HV 4.5 28 0.6 5 6 • • •LTM4600 4.5 20 0.6 5 10

Up to 2 Pin compatible with LTM4602, LTM4602HVLTM4600HV 4.5 28 0.6 5 10

LTM4601 4.5 20 0.6 5 12 • • •

Up to 4

Pin compatible with LTM4603, LTM4603HVLTM4601HV 4.5 28 0.6 5 12 • • •

LTM4601A 4.5 20 0.6 5 12 • • • LTM4601 or LTM4601HV with Extra LGA padsLTM4601AHV 4.5 28 0.6 5 12 • • •

Low Current Buck (Step-Down)

LTM8020 4 36 1.25 5 0.2 – 6.25 x 6.25 x 2.3 Tiny 6.25mm x 6.25mm

LTM8021 3.6 36 0.8 5 0.5 – 6.25 x 11.25 x 2.8

Cooler temp and smaller than linear regulaor

LTM8022 3.6 36 0.8 10 1 – 11.25 x 9 x 2.8 Pin compatible with LTM8023

LTM8023 3.6 36 0.8 10 2 Up to 2 11.25 x 9 x 2.8 Pin compatible with LTM8022

Ultra-Low Noise Buck (Step-Down)

LTM4606 4.5 28 0.6 5 6 • • –

15 x 15 x 2.8

For high speed I/O; Meets CISPR 22 Class B

LTM4612 4.5 36 3.3 15 6 • • – For high Vout, low noise, LTM4606 pin compatible

LTM8032 3.6 36 0.8 10 1 – 9 x 15 x 2.8 EN55022 Class B Compliant

Aerospace & Military

LTM4600HVMPV 4.5 28 0.6 5 10 Up to 2

15 x 15 x 2.8

-55°C to 125°C

LTM4601AHVMPV 4.5 28 0.6 5 12 • • • Up to 4

LTM4606MPV 4.5 28 0.6 5 6 • • –

LTM4608AMPV 2.7 5.5 0.6 5 8 • • Up to 3 9 x 15 x 2.8

LTM4612MPV 4.5 36 3.3 15 6 • • – 15 x 15 x 2.8

LTM8020MPV 4 36 1.25 5 0.2 – 6.25 x 6.25 x 2.3

LTM8022MPV 3.6 36 0.8 10 1 – 11.25 x 9 x 2.8

Low Voltage

LTM4604 and A version 2.375 5.5 0.8 5 4 • Up to 2 9 x 15 x 2.3 Only 2.3mm height

LTM4608 and A version 2.7 5.5 0.6 5 8 • • Up to 3 9 x 15 x 2.8 8A in 9x15mm LGA

Dual

LTM4616 2.7 5.5 0.6 5 8, 8 • •Up to 2 15 x 15 x 2.8

Dual 8A or Single 16A

LTM4614 2.375 5.5 0.8 5 4, 4 • Dual 4A (for single 8A see LTM4608A)

Buck-Boost

LTM4605 4.5 20 0.8 16 5 to 12 •Up to 2 15 x 15 x 2.8 94% to 98% efficiency, external

inductor, all pin compatibleLTM4607 4.5 36 0.8 25 5 to 12 •LTM4609 4.5 36 0.8 34 5 TO 12 •LED Driver & Current Source

LTM8040 4 36 2.5 13 1 – 9 x 15 x 4.32 With dimming

Page 28: Altera Development Tools

28

LIN

EAR

TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Trackable, Phase-Lockable, Current Sharing Monolithic DC/DC Regulators

Obtaining a high current output circuit footprint is easy thanks to the Linear Technology family of high switching frequency, synchronous monolithic step-down DC/DC converters. Key features include current mode topologies for excellent line and load transient response, spread spectrum operation for low EMI, output currents up to 8A from a single package, high conversion efficiency to minimize thermal issues, input tracking for easy supply sequencing and the ability to stack multiple converters in parallel for accurate current sharing of up to 84A output current.

LTC3414 – 4A, 4MHz, Monolithic Synchronous Step-Down RegulatorKey Features

High-efficiency: Up to 95 percent •4A output current •Low quiescent current: 64μA •Programmable frequency: 300kHz to 4MHz•2.25V to 5.5V input voltage range•Available in 20-lead exposed TSSOP•Evaluation kit part #: DC556A•

Multioutput DC/DC Regulators

LTC3544 – Quad Synchronous Step-Down RegulatorKey Features

Four independent regulators provide up to 300, 200, 200, and 100mA •output current2.25V to 5.5V input voltage ranger•No 2.25MHz constant frequency operation•Low ripple (20 mVP-P) burst mode operation•0.8V reference allows low output voltages•Evaluation kit part #: DC1040A-B•

Monolithic Switching Regulators

Part Number VIN Range (V) IOUT (A) Frequency Features Package

LTC3411A 2.5 to 5.5 1.25 4MHz VOUT(MIN) = 0.8V DFN/MSOP-10

LTC3412A 2.625 to 5.5 2.5 4MHz VOUT(MIN) = 0.8V TSSOP-16E/QFN

LTC3413 2.25 to 5.5 ±3 2MHz For DDR/QDR TSSOP-16E

LTC3414 2.25 to 5.5 4 4MHz VOUT(MIN) = 0.8V TSSOP-20E

LTC3416 2.25 to 5.5 4 4MHz Tracking input TSSOP-20E

LTC3415 2.5 to 5.5 7 2MHz PolyPhase®, Stackable QFN

LTC3418 2.25 to 5.5 8 4MHz Tracking input QFN

Part Number Buck (IOUT) Boost (ISW) Buck-Boost (IOUT) LDO (IOUT) Package (mm)

LTC3544 300mA + 200mA + 200mA + 100mA – – – 3 x 3 QFN-16

LTC3562 600mA + 600mA + 400mA + 400mA – – – 3 x 3 QFN-20

LTC3445 600mA – – 50mA + 50mA 4 x 4 QFN-24

LTC3670/72 400mA – – 150mA + 150mA 2 x 3 DFN-12 / 2 x 2 DFN-8

LTC3100 250mA 800mA – 100mA 3 x 3 QFN-16

LTC3446 1A – – 300mA + 300mA 3 x 4 DFN-14

LTC3541 500mA – – 300mA 3 x 3 DFN-10

LTC3545 800mA + 800mA + 800mA – – – 3 x 3 QFN-16

LTC3522 200mA – 400mA – 3 x 3 QFN-10

LTC3520 600mA – 1A LDO Controller 4 x 4 QFN-24

LTC3537 – 600mA – 100 3 x 3 QFN-16

LTC3523 /-2 400mA 600mA – – 3 x 3 QFN-16

LTC3527 – 600mA + 400mA – – 3 x 3 QFN-16

LTC3547 300mA + 300mA – – – 2 x 3 DFN-8

LTC3419 600mA + 600mA – – – 3 x 3 DFN-10, MS10

LTC3548 800mA + 800mA – – – 3 x 3 DFN-10, MS10E

LTC3407A-2 800mA + 800mA – – – 3 x 3 DFN-10, MS10E

LTC3417A-2 1.5A + 1A – – – 3 x 5 DFN-16, TSSOP-20E

Selected Monolithic Converters

Selected Multifunction, Multioutput Regulators

Page 29: Altera Development Tools

29

LINEA

R TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Linear Technology manufactures a broad range of step-down (buck) controllers for virtually any application. These controllers offer wide input voltage ranges, multiple output capability, multiphase operation and output tracking. Furthermore, they come in voltage-mode and current-mode topologies, offer easy synchronization to external clocks and have comprehensive protection features.

LTC3878 – Fast, Wide Operating Range, No RSENSE Step-Down DC/DC ControllerKey Features

No sense resistor required•True current mode control•Optimized for high step-down ratios•t• ON(MIN) <=75 nsExtremely fast transient response•Stable with ceramic C• OUT

Evaluation Kit Part #: DC1292A•

LTC3850 – Dual, 2-Phase Synchronous Step-Down DC/DC Controller Key Features

Dual, 180° phased controllers reduce required input capacitance •and power supply induced noise OPTI-LOOP compensation minimizes C• OUT ±1% output voltage accuracy •Power good output voltage indicator •Phase-lockable fixed frequency 250kHz to 780kHz •Evaluation Kit Part #: DC1126A•

High-Performance Step-Down Controllers

Selected Single Output Step-Down Controllers

Selected Dual Output Step-Down Controllers

IONVINRUN/SS

ITH

VRNG

SGND

FCB

PGOOD

TG

BG

PGND

VFB

SWBOOST

INTVCC0.22µF

0.1µF

120pF RJK0305

RJK0330

0.56µH

432k

20k

LTC3878

3878 TA01a

5.11k

10k

VOUT1.2V15A

VIN4.5V TO 28V

4.7µF

750µF

10µF

Part Number VIN Range (V) VOUT Range (V) IOUT Max (A) Operating Frequency IQ Supply Package

LT3740 2.2 to 22 0.8 to 0.77VIN 20 300kHz 2.5mA DFN-16

LTC1735/-1 3.5 to 36 0.8 to 7 20 200kHz to 600kHz 450 μA SSOP-16, TSSOP-20

LTC1778/-1 4 to 36 0.8 to 0.9VIN 20 Constant On-Time 900 μA SSOP-16

LTC3778 4 to 36 0.6 to 0.9VIN 20 Constant On-Time 900 μA SSOP-20

LTC3834-1 4 to 36 0.8 to 10 20 140kHz to 650kHz 30 μA 3x5 DFN-16, SSOP-16

LTC3851/-1 4 to 38 0.8 to 5.5 20 250kHz to 750kHz 1mA 3x3 QFN-16/SSOP-16/MSOP-16E

LTC3731 4.5 to 36 0.6 to 7 60 250kHz to 600kHz 2.3mA SSOP-28

LTC3878 4 to 38 0.8 to 0.9VIN 20 Constant On-Time 1.5mA SSOP-16

LTC3879 4 to 38 0.8 to 0.9VIN 20 Constant On-Time 1.3mA 3x3 QFN-16, MSOP-16E

LTC3854 4.5 to 38 0.8 to 5.5 20 400kHZ 2mA 2x3 DFN-12, MSOP-12E

0.1µF

63.4k

2.2µH

2.2k

220pF

0.1µF 0.1µF

4.7µF22µF50V

100µF6V

20k 15k

VOUT13.3V

5A

0.1µF

43.2k

2.2µH

220pF10nF100µF6V

20k15k10k

VOUT22.5V5A

TG1 TG2

BOOST1 BOOST2SW1 SW2

BG1 BG2

SGND

PGND

FREQ/PLLFLTR

SENSE1+ SENSE2+

RUN2SENSE1– SENSE2–

VFB1 VFB2

ITH1 ITH2

VIN PGOOD INTVCC

TK/SS1 TK/SS2

VIN7V TO 24V

38501 TA01

0.1µF 0.1µF

LTC3850

MODE/PLLIN

ILIM

RUN1

500kHz2.2k

Part Number VIN Range (V) VOUT Range (V) IOUT Max (A) Operating Frequency (kHz)

IQ Supply Package

LT3742 3.5 to 30 0.8 to VIN 5/5 500 5mA QFN-24

LTC3836 2.75 to 4.5 0.6 to 0.97VIN 10/10 250 to 850 450mA SSOP-28, QFN-28

LTC3850 4.5 to 28 0.8 to 5.5 25/25 250 to 780 850mA 4x4 QFN-32, 4x5 QFN-32, SSOP-28

LTC3728L/LX/L-1 4.5 to 28 0.8 to 6 20/20 250 to 550 450mA 4x4 QFN-32, 4x5 QFN-32, SSOP-28

LTC3727/-1/A-1/LX-1 4.5 to 36 0.8 to 14 20/20 250 to 550 670mA SSOP-28

LTC3827/-1 4 to 36 0.8 to 10 20/20 140 to 650 80mA 5x5 QFN-32, SSOP-28

LTC3811 4.5 to 30 0.6 to 3.3 25/25 250 to 750 10.5mA 5x7 QFN-38, SSOP-36

Page 30: Altera Development Tools

30

LIN

EAR

TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Easily Paralleled: Get High Output Current without Hot Spots

The LT3080 is a new generation linear regulator compatible with modern surface-mount circuit design. Its input voltage is specified up to 36V, providing good margin for transients in many applications. Also, the output of the LT3080 is adjustable with a single resistor down to 0V and devices are easily paralleled for higher output current or to spread PCB heat. The input to output dropout is 1.3V when used as a three-terminal regulator. The collector of the power device can be connected separately from the control circuitry to enable dropout voltages of only 300mV, ensuring high efficiency conversion.

LTC3080/-1 – Adjustable 1.1A Single Resistor Low Dropout RegulatorKey Features

Outputs can be directly paralleled•Output current: 1.1A•Low dropout voltage: 300mV at 1.1A (two-supply operation)•Low noise: 40μVRMS wideband (10Hz to 100kHz)•Stable 10μA current source reference•Single resistor programs V• OUT

V• OUT down to 0VV• IN up to 36V (40V abs max.)Evaluation Kit Part #: DC1294A•

DUAL LDOs - Low Noise Regulators

LT3028 – Dual 100mA/500mA Low Dropout, Low Noise, Micropower Regulators with Independent Inputs Key Features

Low noise: 20 μV• RMS (10Hz to 100kHz)Low quiescent current: 30μA/output•Independent inputs•Wide input voltage range: 1.8V to 20V•Output current: 100mA/500mA•Very low shutdown current: <0.1μA•Evaluation Kit Part #: DC832A•

Rethinking LDO Regulators

Selected Dual Output LDO Regulators

LT3080 Packaging Options

3 mm x 3 mm DFN 3 mm x 5 mm MSOP8-E

28 mm x 10.25 mm TO-220 6.5 mm x 7 mm SOT-223

Part Number IOUT VIN Min (V) VIN Max (V) Reference Voltage (V)

Dropout Voltage (V@IOUT)

IQ (Supply) Package

LT3023 Dual 100mA 1.8 20 1.22 0.3 40μA MSOP-10E/DFN-10

LT3027 Dual 100mA 1.8 20 1.22 0.3 40μA MSOP-10E/DFN-10

LT3024 Dual 500/100mA 1.8 20 1.22 0.3 60μA TSSOP-16E/DFN-12

LT3028 Dual 500/100mA 1.8 20 1.22 0.3 60μA TSSOP-16E/DFN-12

Page 31: Altera Development Tools

31

LINEA

R TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Linear Technology manufactures a broad line of high performance low dropout linear regulators (LDO). These LDOs offer low dropout, fast transient response, excellent line and load regulation, a very wide input voltage range from 0.9V to 20V, and low output voltage down to 0.2V.

LDO Regulators

LT1963/A – 1.5A, Low Noise, Fast Transient Response LDO RegulatorsKey Features

Optimized for fast transient response•Output current: 1.5A•Dropout voltage: 340mV•Low noise: 40 μV• RMS (10Hz to 100kHz)Fixed output voltage: 1.5V, 1.8V, 2.5V, 3.3V•Adjustable output range: 1.21V to 20V•Evaluation Kit Part #: DC367A•

VLDO Regulators

LTC3026 – 1.5A Low Input Voltage VLDO Linear Regulator Key Features

1.14V to 3.5V (with Boost Enabled)•1.14V to 5.5V (with External 5V Boost)•Low dropout voltage: 100mV at IOUT = 1.5A•Adjustable output range: 0.4V to 2.6V•Output current: up to 1.5A•Excellent supply rejection even near dropout•Evaluation Kit Part #: DC833A•

Low Noise and Very Low Dropout Regulators

Selected VLDO Regulators

Selected LDO Regulators

Part Number IOUT VIN Min (V) VIN Max (V) Reference Voltage (V) Dropout Voltage (V@IOUT)

IQ (Supply) Package

LT1761 100mA 1.8 20 1.22 0.3 20μA SOT-23

LT1762 150mA 1.8 20 1.22 0.3 25μA MSOP-8

LT1962 300mA 1.8 20 1.22 0.27 30μA MSOP-8

LT1763 500mA 1.8 20 1.22 0.3 30μA SO-8/DFN-12

LT1965 1.1A 1.8 20 1.20 0.29 500μA DFN-8/DD/MSOP-8/TO-220

LT1963/A 1.5A 2.1 20 1.21 0.34 1mA TSSOP-16E/DD/TO-220/SOT-223/SO-8

LT1764/A 3A 2.7 20 1.21 0.34 1mA DD/TO-220/TSSOP-16E

LT1964 200mA -1.9 -20 -1.21 0.34 30μA SOT-23

Part Number IOUT VIN Min (V) VIN Max (V) Reference Voltage (V)

Dropout Voltage (V@IOUT)

IQ (Supply) Package

LT3020 100mA 0.9 10 0.2 0.15 120μA MSOP-8/DFN-8

LTC1844 150mA 1.6 6.5 1.25 0.11 40μA ThinSOT

LTC3025 300mA 0.9 5.5 0.4 0.05 54μA 2x2 DFN-6

LTC3035 300mA 1.7 5.5 0.4 0.045 100μA 2x3 DFN-8

LT3021 500mA 0.9 10 0.2 0.16 120μA DFN-16/SO-8

LTC3025-1/2/3/4 500mA 0.9 5.5 0.4 0.085 54μA 2x2 DFN-6

LTC3026 1.5A 1.14 3.5/5.5 0.4 0.1 400μA DFN-10/MSOP-10

Page 32: Altera Development Tools

32

LIN

EAR

TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Analog-to-Digital Converters (ADC)Linear Technology’s extensive high speed ADC portfolio offers families of pin-compatible, high performance ADCs in resolutions of 10-, 12-, 14- and 16-bit sampling from 1Msps up to 250Msps. The 16-bit, 105Msps LTC2217 family offer 81dB SNR and 100dB of SFDR performance at baseband. The 12-bit, 250Msps LTC2242 family provides 1.2GHz of analog input bandwidth for undersampling frequencies as high as 1GHz. Our new LTC2262, ultralow power 1.8V ADC family with DDR CMOS or DDR LVDS outputs, spans sample rates of 25Msps up to 150Msps at 12 and 14-bit resolutions and offers one third the power consumption of comparable products. For serial transmission, the LTC2274 16-bit, 105Msps serial ADCs with 2-wire JESD204-compliant outputs interface directly to an FPGA’s SerDes port.

Page 33: Altera Development Tools

33

LINEA

R TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Save FPGA Pins Without Sacrificing Performance

The LTC®2274 introduces a new high-speed, self-clocking, 2-wire serial interface operating at 2.1Gb/s, that interfaces directly to the dedicated SerDes ports on Stratix IV GX FPGAs. In noise-sensitive applications, the serial interface provides an effective isolation barrier between digital and analog circuitry and serves to eliminate coupling between digital outputs and analog inputs to reduce digital feedback. The LTC2274 output data is serialized according to the new JEDEC, JESD204, serial interface specification for data converters, and is compatible with many FPGA high speed interfaces.

Key FeaturesHigh-speed serial interface (JESD204) •16-bit, 105 Ms/s high performance A/D converter •77.7dB noise floor, 100 dB SFDR •Internal transparent dither •PGA front end, 2.25 VP-P or 1.5 VP-P input ranges •700MHz full power bandwidth S/H •Data scrambler •Serial test patterns •Separate analog and digital shutdown pins •Single 3.3V supply•Power dissipation: 1300mW•Clock duty cycle stabilizer •Pin compatible family•40-pin, 6 mm x 6 mm QFN package •Compatible with Altera Stratix II GX•Evaluation kit part #: DC1151A-C, DC1151A-D, DC890B•

Simple Interface to Altera Stratix IV GX FPGA and other GX ProductsLTC227x Pin Compatible Family

High-Speed Serial 16-Bit A/D Converter

The LTM9001 is an integrated System in a Package (SiP) that includes a high-speed 16-bit A/D converter, matching network, anti-aliasing filter and a low noise, differential amplifier with fixed gain. It is designed for digitizing wide dynamic range signals with an intermediate frequency (IF) range up to 300MHz. The amplifier allows either AC- or DC-coupled input drive. A low-pass or bandpass filter network can be implemented with various bandwidths. Contact Linear Technology regarding semi-custom configurations.

LTM9001 - 16-Bit IF/Baseband Receiver SubsystemKey Features

Integrated 16-Bit, High-Speed ADC, Passive Filter and Fixed Gain Differential Amplifier •Up to 300MHz IF Range Low-Pass and Bandpass Filter Versions •Low Noise, Low Distortion Amplifiers Fixed Gain: 8dB, 14dB, 20dB or 26dB 50• Ω, 200Ω or 400Ω Input Impedance 72dB SNR, 82dB SFDR (LTM9001-AD) •Integrated Bypass Capacitance, No External Components Required•

Integrated System in a Package (SiP)

Page 34: Altera Development Tools

34

LIN

EAR

TE

CH

NO

LOG

Y

Arrow | Altera Development Tools

Logic Clock Applications

Generate clock signals up to 68MHz with a single chip and adjust the frequency on-the-fly. The LTC6903 (SPI™) and LTC6904 (I2C™) are processor-controlled frequency sources designed for generating the system clock in microcontrollers, synchronizing switching regulators and clocking switched capacitor circuits. They provide a precise, robust, digitally controllable oscillator for replacing DAC + VCO circuits and direct digital frequency synthesis in applications requiring a tiny, flexible, low-power time base.

LTC6904 – 1kHz to 68MHz Serial Port Programmable OscillatorKey Features

1kHz to 68MHz square wave output •0.5 percent (typical) initial frequency accuracy •Frequency error <1.1 percent over all settings •10 ppm/°C Typical frequency drift over temperature•0.1 percent resolution•1.7mA typical supply current•2.7V to 5.5V single supply operation•Jitter <0.4 percent typical 1kHz to 8MHz•Easy-to-use SPI (LTC6903) or I• 2C (LTC6904) serial interfaceOutput enable pin•-40°C to +125°C operation•

Switching Power Supply Applications

Synchronize multiple switching regulators with a multi-phase silicon oscillator to lower peak switching currents and reduce EMI. The LTC6902, LTC6908, and LTC6909 use two techniques to accomplish these objectives. First, by providing multiple phase-shifted outputs, the power system designer can ensure regulators switch at different times, thus lowering peak switching currents and peak radiated EMI. This technique also offers the potential to use smaller external components. Second, these parts incorporate an optional spread-spectrum frequency modulation feature. By spreading the switching currents over a wider bandwidth, peak EMI is further reduced.

Programmable Silicon Oscillators

Programmable Silicon Oscillators for Logic Clock Applications

Programmable Silicon Oscillators for Switching Power Supply Applications

LTC6909

10V TO 14V

0.1µF

71.5k0.1µF

0.01µF

ENABLE SSFM DISABLE SSFM

LTM4601INTVCC

LTM4601-1

LTM4601-1

LTM4601-1TRACKING

S0FT-START

1.5V48A

TRACKING

TRACKING

6909 TA01

V+D

GND

V+A

SET

PH0

PH1

PH2

MOD

OUT1

OUT2

OUT3

OUT4

OUT5

OUT6

OUT7

OUT8

FREQUENCY(FUNDAMENTAL AND HARMONICS SHOWN)

150kHz

OUTP

UT (d

Bc)

OUTP

UT (d

Bc)

–20

–10

0

6909 TA01b

–30

–40

–5030MHz

–20

–10

0

–30

–40

–50

SSFM DISABLED

SSFM ENABLEDSSFM = fOUT/32

Part Number Program Method Frequency Range Frequency Error Max 25°C (%) Package

LTC1799 Resistor 1kHz - 30MHz 1.5 SOT-23

LTC6900 Resistor 1kHz - 20MHz 1.5 SOT-23

LTC6903 Serial - SPI 1kHz - 68MHz 1.1 MSOP-8

LTC6904 Serial - I2C 1kHz - 68MHz 1.1 MSOP-8

LTC6905 Resistor 17MHz - 170MHz 1.4 SOT-23

LTC6905-X Fixed 20MHz - 133MHz 1 SOT-23

LTC6906 Resistor 10kHz - 1MHz 0.5 SOT-23

LTC6907 Resistor 40kHz - 4MHz 0.5 SOT-23

LTC6908-1 Resistor 50kHz - 10MHz 1.5 SOT-23/DFN

LTC6908-2 Resistor 50kHz - 10MHz 1.5 SOT-23/DFN

LTC6930-X Resistor 32.768kHz - 8.192MHz 0.09 MSOP/DFN

Part Number # of Output Phases Frequency Range Frequency Spreading Package

LTC6902 4 5kHz – 20MHz Programmable MSOP-10

LTC6908-1 2 Complementary 50kHz – 10MHz 10% SOT-23/DFN

LTC6908-2 2 Quadrature 50kHz – 10MHz 10% SOT-23/DFN

LTC6909 1-8 12.5kHz – 6.67MHz 10% MSOP-16

PIC16F73

MICROCONTROLLER

VDD

VSS

RC2/CCP1VSS

RC5/SDO

RC3/SCK/SCL

LTC6904

0.01 µF

GND

SDI

SCK

SEN

POWER-UP CLOCKFREQUENCY IS 1039Hz

V+

5V

10Ω10k

5V

OE

CLK

CLK

OSC2/CLKOUTOSC1/CLKIN

MCLR/V P–P

1µF

0.1µF

A Microcontroller Controlling Its Clock

Page 35: Altera Development Tools

35www.arrownac.com | 800.857.6498

A r r o w E n g i n E E r i n g S u p p o r t | S o l u t i o n S A n d A p p l i c At i o n S | d E S i g n S E r v i c E S A n d t r A i n i n g | d E S i g n t o o l S

For more information, contact your local Arrow sales representative at 800.857.6498, or visit our website at www.arrownac.com.

Follow us on Twitter for new products, design tools, training, special offers, and more.www.twitter.com/arrownac

As the premier global provider of products, services, and solutions, Arrow can streamline your design process and supply chain to get you to market as quickly and efficiently as possible. Assisting you at each stage of your product’s development, with your needs in mind, Arrow’s Field Applications Engineers (FAEs) are factory trained by Altera and Linear Technology to provide real-world technical solutions, and most have at least 10 years of design experience. Our world-class design services, training, and tools keep your projects on track and accelerate your time-to-market.

Solutions and Services:• Global Programming Services

• Custom Logic Solutions (CLS)

• Breaking Tape and Reel

• Arrow Consulting Engineering Services (ACES)

• Engineering Solutions Center (ESC)

Online Resources and Tools:• Arrowdevtools.com - Development tool store

• TestdriveSM - Try before you buy tool evaluation program

• ArrowEDGESM - The latest technology innovations

• Technical papers and on-demand technical training

• eResource - Your connection to tools, services, and solutions

A R R O W E L E C T R O N I C S

Arrow Electronics Technical Solutions and Services

Technical SoluTionS and ServiceS

Page 36: Altera Development Tools

www.arrow.com/alteratools

Accelerate Your Journey To Market Now.

Arrow−Your Source for All Altera Development Tools

Arrow Electronics has consolidated the latest development tools from Altera and its partners in a single place. It has never

been easier to get up and running—fast.

www.arrow.com/alteratools

Purchase your Altera development tool now!

The most direct route tothe best development tools.