advanced mask inspection technologies for 22-nm and...

22
Advanced Mask Inspection Technologies for 22-nm and Beyond KLA-Tencor Corporation Reticle and Photomask Product Division

Upload: others

Post on 18-Jul-2020

10 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Advanced Mask Inspection Technologies for 22-nm and Beyond

KLA-Tencor CorporationReticle and Photomask Product Division

Page 2: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Motivation

Latest Reticle Inspection Advances

Latest EUV Inspection Results

EUV Actinic Patterned and Blank Mask Inspector Introduction

Summary

Agenda

Reticle and Photomask Product Division

Page 3: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Lithography History and Near Future

248nm193nm

365nm

180nm130nm

65nm

32nm

350nm

OPC

14nmOPC+

Immersion

wavelength (λ) NAi k1

10nm

45nm

optical

(k1 or λ)

22nm

Comp-Litho

90nmLitho λ

Logic Generation

7nm??

OPC++?

DPL

MPL?

EUV?

Reticle and Photomask Product Division

Page 4: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Mask Inspection Challenges for Advanced Node

Mask types – add EUV and quartz etch masks Optics – keep defect SNR high

high NA imaging at limitboost defect signal with illuminationreduce imaging noise

Database Modelingcomplex EUV, quartz etch, exotic OPCmore complex modeling – reduce errorshigher computational load – keep speed

Defect Detectorsnew defect types – local CD, shifted, stripes, etcmanage nuisance – mask processes challenged

Exotic OPC

EUV

Local CD

Reticle and Photomask Product Division

Page 5: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Motivation

Latest Reticle Inspection Advances

Latest EUV Inspection Results

EUV Actinic Patterned and Blank Mask Inspector Introduction

Summary

Agenda

Reticle and Photomask Product Division

Page 6: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Latest Reticle Inspection Advances

Flexible Imaging for high sensitivity

EUV compatible cleanliness

Noise reduction for false reduction

Teron 630 for ≥ 10nm / 1xhp Generation – Optical* and EUV

High accuracy stage*

Latest generation image computer and dataprep

EUV compatible reticle handling

EUV dual-pod load port

3rd generation database modeling

Defect detector advances

August-2013* 10nm generation optical reticles in development Reticle and Photomask Product Division

Page 7: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Latest Reticle Inspection Imaging Advances

• Flexible imaging conditions – NA, sigma, pupil, polarization

• Reduced aberrations, vibration, focus error• EUV demonstrated – applicable to optical

630 Flexible Apertures

630 Flexible Polarization

Imaging advances to boost defect signal / reduce noise

Std Imaging Adv Imaging

Std Adv

AdvAdv

Std Adv Adv

EUV Defect Types

EU

V D

efec

t Sig

nalUp to 50%

defect signal boost

Reticle and Photomask Product Division

Page 8: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Latest Database Modeling Advances

new Gen3 modeling for EUV, quartz etch, complex OPC

Advanced physics-based 3D modelingModels complex EUV and quartz etch illumination physicsLow errors for best defect detection SNR – find small defectsOptimized for high speed reticle inspection (10x10cm in ~2hr)Extendable to standard optical reticles with aggressive OPC

Gen2 modeling error Gen3 modeling error

EUV 14nm reticle

50%RMSlowererrors

Gen3 Initial release Gen3 2nd release

25 to 30% further noise reduction

Reticle and Photomask Product Division

Page 9: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Latest EUV Die-to-Die Algorithm Advances

New Advanced EUV Die-to-Die Algorithm developed

In-house testing completedRecent Beta site installation

Technical advances for die-to-dieOptimized imaging – OAI and polarizationNew autofocus method - reduces falseEUV defect detectors

Sensitivity expected to meet process development requirements

Previous Die-to-Die result

False issue

Advanceddie-to-die result

Reticle and Photomask Product Division

Page 10: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

ML Phase Defect Location Accuracy Advances

Location Accuracy (nm) Timing Change Platform

~ 1000 - 3000 Q4 CY10 Initial capability 610

~ 200 - 250 Q2 CY11 2 pt alignment (plate rotation) 610

~ 100 -120 Q4 CY123 pt alignment, scale, ortho

compensation, template matching

610 / 630

~ 50 1H CY14 HW improvements 630

~ 30 1H CY15 Algorithm / sw development 630

Continuous improvements supporting industry needs

Reticle and Photomask Product Division

Page 11: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Motivation

Latest Reticle Inspection Advances

Latest EUV Inspection Results

EUV Actinic Patterned and Blank Mask Inspector Introduction

Summary

Agenda

Reticle and Photomask Product Division

Page 12: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Testing Program

Internal testing for beta start – completed Nov’1222nm / 14nm generation optical and EUV reticles15ea EUV reticles from 9ea reticle manufacturers

Field beta testing - started in Dec’12qualified for optical production Jan’13qualified for EUV die-to-database Mar’13inspect reticles with black borders May’13EUV die-to-die testing in progress – complete Sep’13

Continue testing as 10nm generation reticles are available

Reticle and Photomask Product Division

Page 13: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

630 EUVdb 5-Sys Test – 56nm pitch hole

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40

B

D

N

L

J

A

E

M

H

Stack: 50nm TaBN + 2nm TaBO PDM = Programmed Defect Mask * 5 consecutive inspections

12 14 19% area

7 10 11%

8 11 18

22 28 32

16 21 23%

12 18 23%

5 8 10%

12 15 19%

23 33 35

2D Under

1D Under

Multi 2D Under

Mis-place

Clr Ext

Clr Corner

630 EUVdb 100% Spec*All 5x2 100%*< 5x2 100%*

610 EUVdb 1x1 Test 100%*630 EUVdb Test*5ea 630 systems2ea KT PDMs Defect SEM Size, nm or % area

2D Over

1D Over

Multi 2D Over

Reticle and Photomask Product Division

Page 14: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

EUV Die-to-die 2-Sys Test – 56nm pitch hole

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40

B

D

N

L

J

A

E

M

H

Stack: 50nm TaBN + 2nm TaBO PDM = Programmed Defect Mask * 5 consecutive inspections

13 16% area

8%

11 12

20

20 23%

14 22%

8 9%

13 16%

23 28

2D Under

1D Under

Multi 2D Under

Mis-place

Clr Ext

Clr Corner

630 EUVdd 100% Spec*All 2x2 100%*< 2x2 100%*

630 EUVdd Test2ea 630 systems2ea KT PDMs

Defect SEM Size, nm or % area 14 defect types – 9 shown

2D Over

1D Over

Multi 2D Over

(not determined – in process)

Reticle and Photomask Product Division

Page 15: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

EUV Die-to-DB, Die-to-Die Sensitivity Characterization

DD DB

Max sensitivity capability with <100 false/nuisance per run criteria

L/SExtrusion

large small

large small

large small

L/SIntrusion

L/SIntrusion

Die-to-Database shows its superior sensitivity

Defect type Design node (nm)

Designed defect size (nm)L/S 1:1 Ext. 11 10 9 8 7 6 5 4 3 2 1630 DD

20630 DB630 DD

24630 DB630 DD

30630 DB

Defect type Design node (nm)

Designed defect size (nm)L/S 1:1 Int. 11 10 9 8 7 6 5 4 3 2 1630 DD

20630 DB630 DD

24630 DB630 DD

30630 DB

Defect type Design node (nm)

Designed defect size (nm)L/S 1:2 Int. 11 10 9 8 7 6 5 4 3 2 1630 DD

20630 DB630 DD

24630 DB630 DD

30630 DB

100% captureModerate captureLow capture

Reticle and Photomask Product Division

Page 16: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Particle Mode Sensitivity Characterization

SiO2 on ML

SiO2 Size Defects Present Defect count Capture rate

90 nm 1785 1803 100%70 1925 1918 100%60 1809 1800 100%55 1996 2072 100%50 1910 1903 100%45 1929 1919 100%40 1614 1820 100%35 unknown 2007 High capture30 unknown 1633 High capture

SiO2 on ML – High capture rate down to 30 nm

Reticle and Photomask Product Division

Page 17: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Motivation

Latest Reticle Inspection Advances

Latest EUV Inspection Results

EUV Actinic Patterned and Blank Mask Inspector Introduction

Summary

Agenda

Reticle and Photomask Product Division

Page 18: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Lithography and Inspection WavelengthW

avel

engt

h (n

m)

Year

Litho @ 193-nm

5xx @ 257-nm

6xx @ 193-nm <Actinic>

Litho @ 13.5-nm

13.5-nm <Actinic>

NBA1 @ 193-nm

NBA2 @ <1-nm(eBeam)

EUVL presents unique challenges of Inspection strategy – Actinic or two extreme ends

Litho and Inspection wavelength shift

Reticle and Photomask Product Division

Page 19: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

EUV Actinic Patterned Mask and Blank Inspection Tool

• EUV actinic inspection is a must for EUVL High Volume Manufacturing due to:– Phase defects– ML Blank defects– Contamination defects– Throughput– Through-pellicle inspection

7xx ProgramThe world’s only EUV Actinic Patterned Mask Inspection System

Reticle and Photomask Product Division

Page 20: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

7xx Program Status

Actinic platform requirementsTarget node – 16-nm and 11-nm HP node

Target throughput – 2 to 5 hour inspection per mask

All defect types capture including Phase defects, ML Blank defects, Contamination defects which impact wafer patterning

Partnership in place to accelerate Actinic Patterned Mask Inspector production readiness

Reticle and Photomask Product Division

Page 21: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

Motivation

Latest Reticle Inspection Advances

Latest EUV Inspection Results

EUV Actinic Patterned and Blank Mask Inspector Introduction

Summary

Agenda

Reticle and Photomask Product Division

Page 22: Advanced Mask Inspection Technologies for 22-nm and Beyondsemicontaiwan.org/en/sites/semicontaiwan.org/files/... · • EUV actinic inspection is a must for EUVL High Volume Manufacturing

• Latest DUV reticle inspector – 630 Series released and supporting early EUV defectivity learning

platform extensions planned for 10nm / 1xhp generation

EUV Actinic Patterned and Blank Mask Inspector development in progress with collaboration with key customers

target 7nm / 1xhp generation high volume manufacturing and below

Summary

Reticle and Photomask Product Division