a.8 dynamic scheduling with a scoreboard

35
A.8 Dynamic Scheduling with a Scoreboard 吳吳吳 吳吳吳吳吳吳吳吳吳吳 October 2004 EEF011 Computer Architecture 吳吳吳吳吳

Upload: onslow

Post on 06-Jan-2016

45 views

Category:

Documents


0 download

DESCRIPTION

EEF011 Computer Architecture 計算機結構. A.8 Dynamic Scheduling with a Scoreboard. 吳俊興 高雄大學資訊工程學系 October 2004. The idea:. Dynamic Scheduling. HW Schemes: Instruction Parallelism. Out-of-order execution divides ID stage: 1.Issue —decode instructions, check for structural hazards - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: A.8 Dynamic Scheduling with a  Scoreboard

A.8 Dynamic Scheduling with a

Scoreboard

吳俊興高雄大學資訊工程學系

October 2004

EEF011 Computer Architecture計算機結構

Page 2: A.8 Dynamic Scheduling with a  Scoreboard

2

Dynamic Scheduling

• Out-of-order execution divides ID stage:1. Issue—decode instructions, check for structural hazards

2. Read operands—wait until no data hazards, then read operands

• Scoreboards allow instruction to execute whenever 1 & 2 hold, not waiting for prior instructions.

• A scoreboard is a “data structure” that provides the information necessary for all pieces of the processor to work together.

– responsible for instruction issue and execution and hazard detection– sufficient resources and no data dependence

• We will use In order issue, out of order execution, out of order commit ( also called completion)

• First used in CDC6600. Our example modified here for MIPS.• CDC had 4 FP units, 5 memory reference units, 7 integer units.• MIPS has 2 FP multiply, 1 FP adder, 1 FP divider, 1 integer.

The idea:

HW Schemes: Instruction Parallelism

Page 3: A.8 Dynamic Scheduling with a  Scoreboard

3

Figure A.51 Basic structure of a MIPS processor with a scoreboard

two inputs and one output

Page 4: A.8 Dynamic Scheduling with a  Scoreboard

4

Scoreboard Implications• Out-of-order completion => WAR, WAW hazards?

• Solutions for WAR

– Queue both the operation and copies of its operands

– Read registers only during Read Operands stage

• For WAW, must detect hazard: stall until other completes

• Need to have multiple instructions in execution phase

=> multiple execution units or pipelined execution units

• Scoreboard keeps track of dependencies, state or operations

• Scoreboard replaces ID, EX, WB with 4 stages

Dynamic SchedulingUsing A Scoreboard

Page 5: A.8 Dynamic Scheduling with a  Scoreboard

5

Four Stages of Scoreboard Control

1. Issue —decode instructions & check for structural hazards (ID1)

– If a functional unit for the instruction is free and

– no other active instruction has the same destination register (WAW),

=> the scoreboard issues the instruction to the functional unit and updates its internal data structure.

If a structural or WAW hazard exists,

=> then the instruction issue stalls, and no further instructions will issue until these hazards are cleared.

Dynamic SchedulingUsing A Scoreboard

Page 6: A.8 Dynamic Scheduling with a  Scoreboard

6

Four Stages of Scoreboard Control

2. Read operands —wait until no data hazards, then read operands (ID2)

A source operand is available

• if no earlier issued active instruction is going to write it (RAW),• or if the register containing the operand is being written by a

currently active functional unit.

When the source operands are available, the scoreboard tells the functional unit to proceed to read the operands from the registers and begin execution.

The scoreboard resolves RAW hazards dynamically in this step, and instructions may be sent into execution out of order.

Dynamic SchedulingUsing A Scoreboard

Page 7: A.8 Dynamic Scheduling with a  Scoreboard

7

Four Stages of Scoreboard Control3. Execution —operate on operands (EX)

– The functional unit begins execution upon receiving operands.– When the result is ready, it notifies the scoreboard that it has

completed execution.

4. Write result —finish execution (WB) Once the scoreboard is aware that the functional unit has

completed execution, the scoreboard checks for WAR hazards.• If none, it writes results.• If WAR, then it stalls the instruction.

Example: DIVD F0,F2,F4 ADDD F10,F0,F8 SUBD F8,F8,F14 Scoreboard would stall SUBD until ADDD reads operands

Dynamic SchedulingUsing A Scoreboard

Page 8: A.8 Dynamic Scheduling with a  Scoreboard

8

Three Parts of the Scoreboard

1. Instruction status—Indicates which of 4 steps the instruction is in

2. Functional unit status—Indicates the state of the functional unit (FU).9 fields for each functional unit

Busy—Indicates whether the unit is busy or notOp—Operation to perform in the unit (e.g., + or –)Fi—Destination registerFj, Fk—Source-register numbersQj, Qk—Functional units producing source registers Fj, FkRj, Rk—Flags indicating when Fj, Fk are ready and not yet read.

Set to No after operands are read

3. Register result status—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions will write that register

Dynamic SchedulingUsing A Scoreboard

Page 9: A.8 Dynamic Scheduling with a  Scoreboard

9

Detailed Scoreboard Pipeline Control

Read operands

Execution complete

Instruction status

Write result

Issue

Bookkeeping

Rj No; Rk No

f(if Qj(f)=FU then Rj(f) Yes);f(if Qk(f)=FU then Rj(f) Yes);

Result(Fi(FU)) 0; Busy(FU) No

Busy(FU) yes; Op(FU) op; Fi(FU) `D’;

Fj(FU) `S1’; Fk(FU) `S2’;Qj Result(‘S1’); Qk Result(`S2’);

Rj not Qj; Rk not Qk;Result(‘D’) FU;

Rj and Rk(RAW)

Functional unit done

Wait until

f((Fj( f )≠Fi(FU) or Rj( f )=No) &

(Fk( f ) ≠Fi(FU) or Rk( f )=No))

(WAR)

Not busy (FU)and not result(D)

(WAW)

Dynamic SchedulingUsing A Scoreboard

Page 10: A.8 Dynamic Scheduling with a  Scoreboard

10

Scoreboard Example3.3 Dynamic Scheduling

Using A Scoreboard

This is the sample code we’ll be working with in the example:

LD F6, 34(R2)LD F2, 45(R3)MULT F0, F2, F4SUBD F8, F6, F2DIVD F10, F0, F6ADDD F6, F8, F2

What are the hazards in this code?

Latencies (clock cycles):LD 1MULT 10SUBD 2DIVD 40ADDD 2

Without pipelining:

3 * 6 (ID1,ID2,WB) + (1 + 1 + 10 + 2 + 40 + 2) (EX) = 18 + 56 = 74 cycles

Ideal single-FU pipelining:

3 (ID1 + ID2 + WB) + (1 + 1 + 10 + 2 + 40 + 2) (EX) = 3 + 56 = 59 cycles

Page 11: A.8 Dynamic Scheduling with a  Scoreboard

11

Scoreboard Example

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2LD F2 45+ R3MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F30FU

Dynamic SchedulingUsing A Scoreboard

Page 12: A.8 Dynamic Scheduling with a  Scoreboard

12

Scoreboard Example Cycle 1

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1LD F2 45+ R3MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F301 FU Integer

Dynamic SchedulingUsing A Scoreboard

Issue LD #1

Shows in which cycle the operation occurred.

Page 13: A.8 Dynamic Scheduling with a  Scoreboard

13

Scoreboard Example Cycle 2

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2LD F2 45+ R3MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F302 FU Integer

Dynamic SchedulingUsing A Scoreboard

LD #2 can’t issue since integer unit is busy.MULT can’t issue because we require in-order issue.

Page 14: A.8 Dynamic Scheduling with a  Scoreboard

14

Scoreboard Example Cycle 3

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3LD F2 45+ R3MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F303 FU Integer

Dynamic SchedulingUsing A Scoreboard

Page 15: A.8 Dynamic Scheduling with a  Scoreboard

15

Scoreboard Example Cycle 4

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F304 FU Integer

Dynamic SchedulingUsing A Scoreboard

Page 16: A.8 Dynamic Scheduling with a  Scoreboard

16

Scoreboard Example Cycle 5

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5MULTDF0 F2 F4SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 NoMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F305 FU Integer

Dynamic SchedulingUsing A Scoreboard

Issue LD #2 since integer unit is now free.

Page 17: A.8 Dynamic Scheduling with a  Scoreboard

17

Scoreboard Example Cycle 6

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6MULTDF0 F2 F4 6SUBD F8 F6 F2DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F306 FU Mult1 Integer

Dynamic SchedulingUsing A Scoreboard

Issue MULT.

Page 18: A.8 Dynamic Scheduling with a  Scoreboard

18

Scoreboard Example Cycle 7

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7MULTDF0 F2 F4 6SUBD F8 F6 F2 7DIVD F10 F0 F6ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd Yes Sub F8 F6 F2 Integer Yes NoDivide No

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F307 FU Mult1 Integer Add

Dynamic SchedulingUsing A Scoreboard

MULT can’t read its operands (F2) because LD #2 hasn’t finished.

Page 19: A.8 Dynamic Scheduling with a  Scoreboard

19

Scoreboard Example Cycle 8a

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7MULTDF0 F2 F4 6SUBD F8 F6 F2 7DIVD F10 F0 F6 8ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd Yes Sub F8 F6 F2 Integer Yes NoDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F308 FU Mult1 Integer Add Divide

Dynamic SchedulingUsing A Scoreboard

DIVD issues.MULT and SUBD both waiting for F2.

Page 20: A.8 Dynamic Scheduling with a  Scoreboard

20

Scoreboard Example Cycle 8b

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6SUBD F8 F6 F2 7DIVD F10 F0 F6 8ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd Yes Sub F8 F6 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F308 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

LD #2 writes F2.

Page 21: A.8 Dynamic Scheduling with a  Scoreboard

21

Scoreboard Example Cycle 9Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9DIVD F10 F0 F6 8ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

10 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 No

2 Add Yes Sub F8 F6 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F309 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

Now MULT and SUBD can both read F2.How can both instructions do this at the same time??

Page 22: A.8 Dynamic Scheduling with a  Scoreboard

22

Scoreboard Example Cycle 11

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11DIVD F10 F0 F6 8ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

8 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 No

0 Add Yes Sub F8 F6 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3011 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

ADDD can’t start because add unit is busy.

Page 23: A.8 Dynamic Scheduling with a  Scoreboard

23

Scoreboard Example Cycle 12

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

7 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd NoDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3012 FU Mult1 Divide

Dynamic SchedulingUsing A Scoreboard

SUBD finishes.DIVD waiting for F0.

Page 24: A.8 Dynamic Scheduling with a  Scoreboard

24

Scoreboard Example Cycle 13

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

6 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3013 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

ADDD issues.

Page 25: A.8 Dynamic Scheduling with a  Scoreboard

25

Scoreboard Example Cycle 14

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

5 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 No

2 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3014 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

Page 26: A.8 Dynamic Scheduling with a  Scoreboard

26

Scoreboard Example Cycle 15

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

4 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 No

1 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3015 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

Page 27: A.8 Dynamic Scheduling with a  Scoreboard

27

Scoreboard Example Cycle 16

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

3 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 No

0 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3016 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

Page 28: A.8 Dynamic Scheduling with a  Scoreboard

28

Scoreboard Example Cycle 17

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

2 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3017 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

ADDD can’t write because of DIVD. RAW!

Page 29: A.8 Dynamic Scheduling with a  Scoreboard

29

Scoreboard Example Cycle 18

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

1 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3018 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

Nothing Happens!!

Page 30: A.8 Dynamic Scheduling with a  Scoreboard

30

Scoreboard Example Cycle 19

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

0 Mult1 Yes Mult F0 F2 F4 Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3019 FU Mult1 Add Divide

Dynamic SchedulingUsing A Scoreboard

MULT completes execution.

Page 31: A.8 Dynamic Scheduling with a  Scoreboard

31

Scoreboard Example Cycle 20

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19 20SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Yes Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3020 FU Add Divide

Dynamic SchedulingUsing A Scoreboard

MULT writes.

Page 32: A.8 Dynamic Scheduling with a  Scoreboard

32

Scoreboard Example Cycle 21

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19 20SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8 21ADDDF6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Yes Yes

Register result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3021 FU Add Divide

Dynamic SchedulingUsing A Scoreboard

DIVD loads operands

Page 33: A.8 Dynamic Scheduling with a  Scoreboard

33

Scoreboard Example Cycle 22

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19 20SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8 21ADDDF6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd No

40 Divide Yes Div F10 F0 F6 Yes YesRegister result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3022 FU Divide

Dynamic SchedulingUsing A Scoreboard

Now ADDD can write since WAR removed.

Page 34: A.8 Dynamic Scheduling with a  Scoreboard

34

Scoreboard Example Cycle 61

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19 20SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8 21 61ADDDF6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd No

0 Divide Yes Div F10 F0 F6 Yes YesRegister result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3061 FU Divide

Dynamic SchedulingUsing A Scoreboard

DIVD completes execution

Page 35: A.8 Dynamic Scheduling with a  Scoreboard

35

Scoreboard Example Cycle 62

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultLD F6 34+ R2 1 2 3 4LD F2 45+ R3 5 6 7 8MULTDF0 F2 F4 6 9 19 20SUBD F8 F6 F2 7 9 11 12DIVD F10 F0 F6 8 21 61 62ADDDF6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for j FU for k Fj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd No

0 Divide NoRegister result status

Clock F0 F2 F4 F6 F8 F10 F12 ... F3062 FU

Dynamic SchedulingUsing A Scoreboard

DONE!!