9-11 july 2003c. de la taille electronics cern summer school 20031 introduction to electronics for...

23
9-11 july 20 03 C. de La Taille Electronics CERN Su mmer School 2003 1 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay [email protected] CERN Summer school 2003

Upload: milton-chandler

Post on 17-Jan-2016

216 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 1

Introduction to Electronics for High

Energy Physics

C. de LA TAILLE LAL Orsay

[email protected]

CERN Summer school 2003

Page 2: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 2

Outline

Course 1 : The art of electronics : is there something beyond Ohm’s law ?

Course 2 : Learning to decipher a schematic

Course 3 : Electronics in high energy physics

Page 3: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 3

Introduction

Speak “electronician” in just 3 lessons… “Did you cascode your charge preamp to increase your open loop gain ?” “Did you find an FPGA with LVDS I/Os for your digital filter ?” A lot of vocabulary (and abreviations…) to get used to, but :

Little prerequisite knowledge required : Ohm’s law : U = Z I Some basics of Fourier (or Laplace) transforms cannot hurt for signal theory

Many more details are given in the transparencies -> don’t be scared !

Page 4: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 4

Electronics in experiments

A lot of electronics in the experiments… Readout electronics : amplification, filtering… : Analog electronics (A,V,C) Processing & Trigger electronics : Digital electronics (bits) [see lecture of

Cittolin]

The performance of electronics often impacts on the detectors

Page 5: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 5

Detector

Overview of readout electronics

Most front-ends follow a similar architecture

Preamp Shaper Analog memory

ADC

Very small signals (fC) -> need amplification Measurement of amplitude and/or time (ADCs, discris,

TDCs) Several thousands to millions of channels

fC V bitsFIFODSP…

V V

Page 6: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 6

Readout electronics : requirements

Low cost !

(and even less)

Radiation hardness

High reliability

High speed

Large dynamic

range

Low power

Low material

Low noise

Page 7: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 7

The foundations of electronics

Voltage generators or source

RS → 0

Ideal source : constant voltage, independent of current (or load)

In reality : non-zero source impedance RS

Current generators Ideal source : constant current,

independent of voltage (or load) In reality : finite output source impedance

RS

Ohms’ law Z = R, 1/jωC, jωL Notice the sign convention

Z

Vi

V

RS → ∞i

Page 8: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 8

Frequency domain & time domain

Frequency domain : V(ω,t) = A sin (ωt + φ)

• Described by amplitude and phase (A, φ) Transfer function : H(ω) [or H(s)] = The ratio of output signal to input signal in the

frequency domain assuming linear electronics

Vout(ω) = H(ω) Vin(ω)

Time domain Impulse response : h(t) = the output signal for an impulse (delta)

input in the time domain The output signal for any input

signal vin(t) is obtained by convolution * :

Vout(t) = vin(t) * h(t) = ∫ vin(u) * h(t-u) du Correspondance through Fourier transforms

X(ω) = F { x(t) } = ∫ x(t) exp(jωt)dt a few useful Fourier transforms in appendix below

H(ω) vin(ω) vout(ω)

h(t) vin(t) vout(t)

F -1

Page 9: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 9

Appendix 1 : a few useful Fourier Transforms

H(ω) = 1<-> h(t) = δ(t) (impulse) H(ω) = 1/jω h(t) = S(t) = (step) H(ω) = 1/(1+jωT) h(t) = exp(-t/T) (low pass filter, exponential) H(ω) = 1/jω (1+jωT) h(t) = 1 - exp(-t/T) H(ω) = 1/(1+jωT)n h(t) = 1/n! (t/T)n-1 exp(-t/T) …

Page 10: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 10

Using Ohm’s law

Example of photodiode readout Used in high speed optical links Signal : ~ 10 µA when illuminated Modelisation :

• Ideal current source Iin• pure capacitance Cd

I in C d

Simple I to V converter : R ! R = 100 kΩ gives 1V output for 10

µA

Speed ? Transfer function H(ω) = vout/iin H has the dimension of Ω and is

often called « transimpedance » and even more often (improperly) « gain »

H(ω) = R/(1 + jω RCd)

-1/jRCd is called a « pole » in the transfer function

light

volts

10 Gb/s optical receiver (Orx)

100K

Vout

Page 11: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 11

Frequency response

Bode plot Magnitude (dB) = 20 log |H(jw)| -3dB bandwidth : f-3dB = 1/2πRC

• R=105Ω, C=10pF => f-3dB=160 kHz

• At f-3dB the signal is attenuated by 3dB = √2, the phase is -45°

Above f-3dB , gain rolls-off at-20dB/decade

(or -6dB/octave)

100 dBΩ

80 dBΩ

Magnitude

Phase

Page 12: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 12

Time response

Step response : rising exponential H(t) = F -1 { 1/jω R/(1+jωRC) }

= R [ 1 - exp(-t/ τ) ] Rise time : t10-90% = 2.2 τ « eye diagramm »

Impulse response h(t) = F -1 { R/(1+jωRC) }

= R/ τ exp(-t/τ) τ (tau) = RC = 1 µs : time

constant

Speed : ~ 10 µs = 100 kb/s ! Still 5 orders ofmagnitude away

from a 10 Gb/s link !

pulse response

tr 10-90%

Impulse response10Gb/s eye diagram (10 ps/div)

Page 13: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 13

Current preamplifiers in theory

Improve with an opamp Vout = G(vin+- vin-) G >> 1 : « open loop gain » Vin+ = 0 ; iin- = 0

Transimpedance configuration Rf between input and output (« shunt-shunt

feedeback ») -> « current preamp » (PAI) Transfer function :

• Vout - vin = - Rf if• Vin = (iin - if)/ jω Cd = - vout/G

Bandwidth improvement by G >>1 Example with LM741, (G0=2 105) => BW = 3.2 THz ! Looks great !

Current preamplifierarchitecture

vout/iin = - Rf /(1 + jω RfCd/G)

Page 14: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 14

Current preamp in practice

With an old LM741

Oscillations : ω0 = 500 kHz

Page 15: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 15

Current preamp in practice

Trying a more modern opamp… (OP 620 GBW=300 MHz) More (but faster) oscillations

Page 16: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 16

Stability in current preamps

What happens ? Opamp open loop gain varies with

frequency G(ω) = G0/(1 + j ω/ω0)

• G0 : low frequency gain

• ω0 : dominant pole

• 90° phase shift above ω0 90° Phase shift in opamp + 90° phase

shift on detector capacitance = 180° => oscillations

Also with the maths : H(jω) = -Rf / (1 + jω RfCd/G(ω)) = -

Rf / [1 + jω RfCd(1/G0 + jω/G0w0)] = - Rf / (1 + jω RfCd/G0 - ω2 RfCd

/G0w0) 2nd order system

Open loop frequency response of OP620

frequency response of 2nd order

Page 17: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 17

Current preamp seen from the input

Input impedance Zin

Zin = vin/iin = Rf/(G+1) -> small Low input impedance = « virtual

ground » Current sensitive input

Inductive behaviour With G(jω) = G0/(1 + j ω/ω0)

Zin = Rf/ G0 + j ω Rf/G0ω0

Virtual inductance : Leq = Rf/G0ω0

• Ex : LM741 (G0ω0=107) : Leq = 10 mH

• Ex : OP620 (G0ω0=109) : L = 100 µH

RLC circuit with capacitive detector Resonant frequency : fres = 1/2π √LeqCd

Quality factor : Q = R / √Leq/Cd Q > 1/2 -> ringing

• Ex : LM741 : Q=105 √10-2/10-11 = 3• Ex : OP620 : Q=105 √10-4/10-11 = 31 !

Input impedance of PAI

Cd10pF

Rf100kΩ

Leq100µH

Equivalent circuit on the input

Page 18: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 18

Stabilisying the current preamp

Damping the oscillations: Need a resistor such as Q=1/2 R = 0.5 √Cd/Leq -> 1.5k Resistor on the input : OK but

noisy -> Virtual resistor :

Capacitance in feedback : Cf

Resistive input impedance Req = 1/ G0ω0 Cf

• Virtual resistor (noiseless)

Q = 1/Cf √(Cd/Rf G0ω0) Q=1/2 => Cf=2 √(Cd/Rf G0ω0)

Example :• LM741 (G0ω0=107) :

Cf=10pF

• OP620 (G0ω0=109) : Cf=0.5pF

Speed : ~ 200 ns = 5 Mb/S Only 3 more orders of

magnitude to gain for the 10 Gb/s link !

Cf

Page 19: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 19

Charge preamps (1)

Capacitive feedback Transimpedance configuration Similar to current preamp : Rf -> Cf

Vout(ω)/iin(ω) = - Zf = - 1/jω Cf

Integrator : vout(t) = -1/Cf ∫ iin(t)dt

Charge sensitive preamplifier (PAC) Output proportionnal to the incoming

charge « Gain » : 1/Cf

Cf = 1 pF -> 1 mV/fC Transforms a short pulse into a long one The front-end of 90% of particle physics

detectors

Charge preamplifierarchitecture

vout(t) = - Q/Cf

Page 20: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 20

Charge preamps (2)

Input impedance Zin = 1/jω G0Cf + 1/ G0ω0 Cf

Low resistive input impedance

Rin = 1/ G0ω0 Cf

G0ω0 is given by the preamp design

Determines the risetime at the output :ReqCd

Good stability (…!)• Low sensitivity to detector

capacitance• Small crosstalk

Input impedance of a PAC

Page 21: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 21

Charge preamp example

Monolithic circuit

Cf

Input

Output

Page 22: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 22

Zf

Z0

Charge preamps in practice

D0 Lar calorimeter charge preamplifer

2”

FET

driverpreamp

InputOutput

Page 23: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Introduction to Electronics for High Energy Physics C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 23

10 Gb/s transimpedance amplifier

« Simple architecture »