4_logique_sequentielle.pdf

Upload: khocine

Post on 10-Oct-2015

52 views

Category:

Documents


7 download

TRANSCRIPT

  • 5/20/2018 4_Logique_sequentielle.pdf

    1/24

    Lyce Technique Mohammedia

    Logique Squentielle

    1reSTE Unit ATC

    Professeur :MAHBAB

  • 5/20/2018 4_Logique_sequentielle.pdf

    2/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n14 NOTION DE MEMOIRE Prof : MAHBAB Page 1 / 2

    1. INTRODUCTION :

    A la diffrence d'un circuit combinatoire, l'tat d'un circuit squentiel dpend de l'tat deses entres et de l'tat prcdent de ses sorties ; il doit donc "se rappeler" ou avoir de la"mmoire". Par mmoire, on exprime le phnomne qui consiste conserver l'effet d'un

    vnement aprs sa disparition.

    2. CIRCUIT MEMOIRE EN TECHNOLOGIE ELECTRIQUE :

    Exemple : Circuit de la commande d'un moteur d'une perceuse

    3. CIRCUIT MEMOIRE EN TECHNOLOGIE ELECTRONIQUE :

    Le circuit mmoire lmentaire en lectronique est appel BASCULE RS.

    S(Set) est l'entre de mise 1de la sortie Q ;

    R(Reset) est l'entre de mise 0de la sortie Q ;

    Qsortie de la bascule.

    3.1. Approche 1 :

    Ce circuit est plus connu par sa ralisation simplifie avec l'utilisation de portes NOR.

    Circuitcombinatoire

    S1

    Sm

    E1

    En

    Ltat des sorties ne dpend que

    de ltat des entresLtat des sorties dpend de ltat des

    entres et de l'tat prcdent de ses sorties

    Circuitsquentiel

    S1

    Sm

    E1

    En

    S Q

    R

    Le bouton "m"permet de mettre en marchele moteur.

    Le bouton "a" permet de l'arrter.

    Quand on appuie sur le bouton m, le moteur dmarre ;quand on relche le bouton, le moteur continue tourner.L'ordre de mise en marche a donc t mmoris;

    Il en est de mme pour le bouton a;

    L'action arrt est prioritaire : si met asont appuys enmme temps, on arrtele moteur.

    Quand l'utilisateur appuie sur m, la bobine du relais estalimente. Les contacts Kassocis se ferment. Sil'utilisateur relche m, le courant continue circuler parK; le relais est alors auto-alimentet le moteur continue tourner. L'quation du relais K et du moteur M est :

    K = .

    24 V

    a

    m K

    K

    Circuit de

    commande

    220 V

    K

    M

    Circuit de

    puissance

    Circuit mmoire lmentaire en

    technologie lectrique

    On part de l'quation d'auto-alimentation durelais et on fait la correspondance logique : Scorrespond m, Rcorrespond aet Qcorrespond K.On en dduit alors l'quation de la bascule SR avecReset prioritaire, ainsi que son logigramme :

    Q = .

    R

    QS

  • 5/20/2018 4_Logique_sequentielle.pdf

    3/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n14 NOTION DE MEMOIRE Prof : MAHBAB Page 2 / 2

    S R Q Fonction de la bascule

    0 0 .

    0 1 .

    1 0 .

    Q =

    Q =

    1 1 .

    qindique l'tat prcdent (0 ou 1) (*) Etat indtermin si on passe SR=00

    3.2. Approche 2 :

    Dans cette approche, on raisonne comme pour un circuit combinatoire. Il est doncncessaire de connatre ltat de Q pour connatre ltat de la sortie lorsque les deux entressont 0 (tat de mmoire). On introduit alors une variable supplmentaire qui indique l'tatprcdent de Q. On note "q" cette variable.

    S R q Q

    0 0 0

    0 0 1

    0 1 0

    0 1 1

    1 0 0

    1 0 1

    1 1 0

    1 1 1

    R.q S 00 01 11 10

    0

    1

    Q =.

    Q =.Puisqu' on a (q = Q), alors :

    Q =.

    QR

    S

  • 5/20/2018 4_Logique_sequentielle.pdf

    4/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n15 LES BASCULES Prof : MAHBAB Page 1 / 5

    1. INTRODUCTION :

    Les Bascules, galement connues sous le nom de flip-flop, sont des circuits logiques deux tats stables en sortie. Les bascules RS, D et JK sont dcrites par des tables de vrit,dont la connaissance doit devenir instinctive si l'on veut pouvoir matriser des interfaces

    complexes.A linstar des oprateurs logiques lmentaires en logique combinatoire, les bascules(flip-flop) sont les lments de base de la logique squentielle.

    2. LA BASCULE SR :

    2.1. Bascule SR Asynchrone :

    Les bascules RS sont la base de tous les lments de mmorisation. Il s'agit d'un montageutilisant deux portes NAND et capable de mmoriser un niveau logique choisi laide de deuxsorties complmentaires.

    2.2. Bascule SR Synchrone statique :

    Elle dispose dune entre de validation de la programmation en R et S. Ce signal appelHORLOGE est actif sur niveau haut ou sur niveau bas. Donc, les ordres Set et Reset nechangent ltat de la sortie quaprs lautorisation dun signal de commande H.

    H S R Q /Q Commentaires

    .

    .

    .

    .

    Table de vrit (H active sur niveau haut) :

    S Q

    Q

    Logigramme avec portes NAND :

    qindique l'tat prcdent de Q

    R

    H

    S Q

    R Q

    S R Q /Q Commentaires

    .

    .

    .

    .

    Symbole : Table de vrit :

    S

    R

    Q

    Q

    Logigramme avec portes NAND :

    qindique l'tat prcdent de Q

    Remarque :Cette bascule prsente deux inconvnients

    majeurs : Sensibilit de la sortie Q aux changements

    indsirables (parasites) des entres S et R ; La configuration S = R = 1 est viter parce

    qu'elle conduit lgalit entre les deuxsorties et donc il ny a pluscomplmentarit comme cest indiqu dansla dfinition de la bascule;

    Exemple de chronogramme :S

    t(s)

    1

    0

    R

    t(s)

    1

    0

    Q

    t(s)

    1

    0

  • 5/20/2018 4_Logique_sequentielle.pdf

    5/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n15 LES BASCULES Prof : MAHBAB Page 2 / 5

    2.3. Bascule SR Synchrone dynamique (maitre esclave) :

    C'est une bascule R S dont la prise en compte de l'tat des entres est synchronise par uned'horloge. Lhorloge est active surfront montantou surfront descendant.

    H S R Q /Q Commentaires

    .

    .

    .

    .

    .

    .

    Table de vrit (H active sur front montant) : Fonctionnement : Signal dhorloge actif bascule RS Absence de signal actif dhorloge

    mmoire.

    S mbole :

    S Q

    H

    R Q

    S Q

    H

    R Q

    Active sur de H Active sur de H

    H

    t(s)

    1

    0

    S

    t(s)

    1

    0

    R

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Chronogramme (H active sur front montant) :H

    t(s)

    1

    0

    S

    t(s)

    1

    0

    R

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Chronogramme (H active sur front descendant) :

    S Q

    H

    R Q

    Remarque :La bascule SR synchrone permet de

    rsoudre le premier inconvnient de labascule SR asynchrone.

    Fonctionnement : Signal dhorloge actif bascule RS Absence de signal actif dhorloge

    mmoire.

    Symbole :

    S Q

    H

    R Q

    Active sur niveau

    hautde H

    Active sur niveau

    basde H

    Exemple de chronogramme :H

    t(s)

    1

    0

    S

    t(s)1

    0

    R

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Sortie Q active sur niveau haut

    Sortie Q active sur niveau bas

  • 5/20/2018 4_Logique_sequentielle.pdf

    6/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n15 LES BASCULES Prof : MAHBAB Page 3 / 5

    Logigramme avec portes NAND ; dune bascule RS dynamique (maitre esclave) active surfront descendant

    3. LA BASCULE D :

    La bascule D est une bascule synchrone (avec une entre dhorloge) une seule entrede donne : lentre D (D=Data=Donne). Elle supprime la combinaison interdite de la basculeRS, en ne gardant que les 3 fonctions utiles :( la mise 0, la mise 1 et la mmorisation).Il existe 2 types de bascules D :

    la bascule D active sur niveau (statique) ;

    la bascule D active sur front (dynamique).3.1. Bascule D statique :

    3.2. Bascule D dynamique :

    H D Q /Q Commentaires

    .

    .

    .

    Table de vrit (H active sur niveau haut) :

    H D Q /Q Commentaires .

    .

    Fonctionnement : signal actif, la sortie recopie lentre

    D Fonction Ecriture ; Signal inactif Fonction Mmoire.

    S mbole :

    D Q

    H Q

    Active sur niveau

    hautde H

    D Q

    H Q

    Active sur niveau

    basde H

    Chronogramme (H active sur niveau haut) :H

    t(s)

    1

    0

    D

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Chronogramme (H active sur niveau bas) :H

    t(s)

    1

    0

    D

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    H D Q /Q Commentaires

    .

    .

    .

    .

    .

    Table de vrit (H active sur front montant) :Fonctionnement :

    signal actif, la sortie recopie lentreD Fonction Ecriture ;

    Signal inactif Fonction Mmoire.

    S mbole :

    D Q

    H Q

    D Q

    H Q

    Active sur de H Active sur de H

    S1 Q1

    Q1

    R1

    H1

    S2 Q2

    Q2

    R2

    H2

    S

    R

    H

    Q

    Q

    S Q

    R QH

    D

  • 5/20/2018 4_Logique_sequentielle.pdf

    7/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n15 LES BASCULES Prof : MAHBAB Page 4 / 5

    4. LA BASCULE JK :

    Cest une bascule synchrone, offrant les fonctions mmorisation, mise 0, mise 1 (les3 fonctions de la bascule D), et assurant en plus la fonctionbasculement. Donc, la bascule JK

    permet en plus de lever lambigut qui existe pour ltat S=R=1 dune bascule SR.

    Si on utilise la bascule JK avec J = K = 1, on obtient lune des principales applications dela bascule JK savoir le diviseur de frquence par 2.

    Chronogramme (H active sur front montant) :H

    t(s)

    1

    0

    D

    t(s)1

    0

    Q

    t(s)

    1

    0

    Chronogramme (H active sur front descendant) :H

    t(s)

    1

    0

    D

    t(s)1

    0

    Q

    t(s)

    1

    0

    H J K Q /Q Commentaires

    .

    .

    .

    .

    .

    .

    .

    Table de vrit (H active sur front montant) :Symbole :

    J Q

    H

    K Q

    J Q

    H

    K Q

    Active sur de H Active sur de H

    Sortie Q active sur front montant

    Exemple de chronogramme :H

    t(s)

    1

    0

    J

    t(s)

    1

    0

    R

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Q

    t(s)

    1

    0

    Sortie Q active sur front descendant

  • 5/20/2018 4_Logique_sequentielle.pdf

    8/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n15 LES BASCULES Prof : MAHBAB Page 5 / 5

    5. Fonctionnement forc des bascules :

    Il est parfois ncessaire daffecter le niveau de sortie dune bascule de manire nonsynchrone, c'est--dire indpendamment de lhorloge. Cest le rle des entres de forageasynchrone Preset (Set) et Clear (Clr) qui permettent dinitialiser la bascule :

    Bascule JK avec entres de forage :

    Bascule SR avec entres de forage :

    Circuits intgrs bascules (exercice):

    Brochage et table de vrit du 74 279

    Brochage et table de vrit du 74 LS 75

    Brochage et table de vrit du 74 LS 76

    J Q

    H

    K Q

    Vs

    Ve

    1 Ve

    t(s)

    1

    0

    t(s)

    1

    0

    J Q

    H

    K Q

    Pr

    Clr

    Pr Clr H J K Q /Q Commentaires

    .

    .

    Forage de la

    sortie Q

    .

    .

    .

    Signal dhorlogeinactif

    Mmorisation

    .

    .

    .

    .

    Fonctionnement

    normal de la

    bascule SR

    S Q

    H

    R Q

    Pr

    Clr

    Pr Clr H S R Q /Q Commentaires

    .

    .

    Forage de la

    sortie Q

    .

    .

    .

    Signal dhorlogeinactif

    Mmorisation

    .

    .

    .

    0 0 1 1 1 1 Etat indfini

    Fonctionnement

    normal de la

    bascule SR

  • 5/20/2018 4_Logique_sequentielle.pdf

    9/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n16 LES COMPTEURS Prof: MAHBAB Page 1 / 4

    1. Dfinition :

    Un compteur est un ensemble de n bascules interconnectes par des portes logiques. Ilspeuvent dcrire, au rythme dun signal de commande appel horloge, une suite d'tats binaires.Il ne peut y avoir au maximum que 2ncombinaisons et le nombre total N des combinaisons

    successives est appel le modulo du compteur. Les compteurs binaires peuvent tre classs endeux catgories :

    Les compteurs asynchrones ;

    Les compteurs synchrones ;

    2. Compteur asynchrone modulo N = 2n:

    Ce type de compteur est constitu de n bascules JK fonctionnant en mode T (Toggle) :J=K=1. Ces bascules sont montes en cascade c'est--dire le signal dhorloge commandeuniquement la premire bascule tandis que pour chacune des autres bascules le signal d'horlogeest fourni par la sortie de la bascule de rang immdiatement infrieur.

    Exemple: Compteur asynchrone modulo 16 = 24

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    H

    R H q3 q2 q1 q0 Q3 Q2 Q1 Q0

    1 x x x x x 0 0 0 0

    0 0 0 0 0 0 0 0 1

    0

    0

    0

    0

    0 0

    0

    0

    0

    0

    0

    0

    0

    0

    0

    Q3

    Q2

    Q1

    Q0

    H

    R

    Compteur

    Il faut

  • 5/20/2018 4_Logique_sequentielle.pdf

    10/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n16 LES COMPTEURS Prof: MAHBAB Page 2 / 4

    3. Compteur asynchrone modulo N 2n:Exemple: Compteur asynchrone modulo 10 (23< 10 < 24)

    Pour le raliser, il y a deux tapes : On cherche dabord la puissance de 2 immdiatement suprieure N. Lexposant de cette puissance de 2 donne le nombre de bascules JK monter en cascade. On dtecte ensuite ltat N qui remettra le compteur 0.

    On relie les sorties Q1et Q3 (=1 pour N=10) aux entres dune porte AND dont la sortiecommandera lentre CLR de chaque bascule.

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    J Q

    H

    K QClr

    1

    H

    H

    Q3

    Q2

    Q1

    Q0

    t

    t

    t

    t

    t

    R H q3 q2 q1 q0 Q3 Q2 Q1 Q01 x x x x x 0 0 0 00 0 0 0 0 0 0 0 10 0 0 0 0 0 0 0 0

    Q3

    Q2

    Q1

    Q0

    H

    R

    Compteur

  • 5/20/2018 4_Logique_sequentielle.pdf

    11/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n16 LES COMPTEURS Prof: MAHBAB Page 3 / 4

    4. Compteur synchrone modulo N:Dans un compteur synchrone toutes les bascules reoivent en parallle le mme signal

    dhorloge. Pour faire dcrire au compteur une squence dtermine il faut chaque impulsiond'horloge dfinir les entres synchrones J et K.Pour cela on utilise la table de transition de la bascule J-K ainsi que la table de vrit dcrivantla squence du compteur.

    Exemple: Compteur asynchrone modulo 8 = 23

    La rsolution du problme consiste chercher les quations des entres J et K de chaquebascule laide de la table des tats recherchs.

    q2 q1 q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0

    H

    Q3

    2

    1

    0

    t

    t

    t

    t

    t

    R H q2 q1 q0 Q2 Q1 Q0

    1 x x x x 0 0 0

    0

    0

    0

    0

    0

    0

    0

    0

    Q

    Q

    Q

    H

    R

    Compteur

    Il faut...

    q Q J K

    0 0

    0 1

    1 0

    1 1

    Table dexcitation

    de la bascule JK

  • 5/20/2018 4_Logique_sequentielle.pdf

    12/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n16 LES COMPTEURS Prof: MAHBAB Page 4 / 4

    Compteurs intgrs (exercice):

    Brochage et table de vrit du 74 LS 90

    Brochage et table de vrit du 74 LS 92

    Brochage et table de vrit du 74 LS 93

    Q1.Q0Q2 00 01 11 10

    0

    1

    J0 =.

    Q1.Q0Q2 00 01 11 10

    0

    1

    K0 =.

    Q1.Q0Q2 00 01 11 10

    0

    1

    J1 =.

    Q1.Q0Q2 00 01 11 10

    0

    1

    K1 =.

    Q1.Q0

    Q2 00 01 11 100

    1

    J2 =.

    Q1.Q0

    Q2 00 01 11 100

    1

    K2=.

    J Q

    H

    K QClr

    J Q

    H

    K QClr

    J Q

    H

    K QClr

    H

    H

    Q2

    Q1

    0

    t

    t

    t

    t

  • 5/20/2018 4_Logique_sequentielle.pdf

    13/24

    1STE ANALYSER LES MONTAGES BASE DU CIRCUITS INTEGR NE 555 L.T MohammediaF.Cours n17 TEMPORISATEURS A BASE DE CIRCUITS INTEGREES Prof : MAHBAB Page 1/3

    1. INTRODUCTION :

    Dans les systmes numriques, on a souvent besoin quune action soit effectue pendantune dure dtermine ; on parle de temporisation. Aussi, on a besoin d'un signal priodique quisynchronise ou cadence les oprations d'un systme squentiel ; on parle de base de temps ou

    d'horloge (Clock).

    2. LE CIRCUIT DE BASE-(Circuit RC) :

    Dans un circuit RC, avec C dcharg(Vc = 0V), aliment par une tension continueE, la tension Vc aux bornes de C augmente ;

    on dit que C se charge.La loi de variation de Vc est de la forme :

    Vc = E. (1-e-t/RC) Pour t = 0 Vc = 0V ; Pour t + Vc E ; Pour t = Vc = 0.63E avec = RC.

    Dans un circuit RC, avec C dj charg(Vc = E), la tension aux bornes de C

    diminue ; on dit que C se dcharge. la loide variation de Vc est de la forme :

    Vc = E. (e-t/RC) Pour t = 0 Vc = E ; Pour t + Vc 0 ; Pour t = Vc = 0.37E avec = RC.

    On dmontre que pour atteindre une certaine valeur VcX, il faut un certain temps tX, tel que :

    O : ln est le logarithme nprien ; R est la valeur de la rsistance ; C est la capacit du condensateur.

    E C

    R

    Vc RC Vc

    Vc

    E

    t

    0,63E

    Vc

    E

    t

    0,37E

    Vc

    E

    tx

    t

    Vcx

    Variation totale

    Variation

    restante

    Var at on tota etx = RC.ln

    Variation restante

    Etx = RC.ln

    E- Vcx

  • 5/20/2018 4_Logique_sequentielle.pdf

    14/24

    1STE ANALYSER LES MONTAGES BASE DU CIRCUITS INTEGR NE 555 L.T MohammediaF.Cours n17 TEMPORISATEURS A BASE DE CIRCUITS INTEGREES Prof : MAHBAB Page 2/3

    3. LE TEMPORISATEUR NE555 :

    3.1. FONCTIONNEMENT EN MONOSTABLE :

    On suppose qu'au dmarrage, le condensateur C est dcharg et la sortie Vs 0 ; L'entre Vt est au repos au niveau logique 1 ; alors les variables du montage sontpositionnes ainsi : Les entres de la bascule (S=0) et (R=0) Etat mmoire de la bascule et Vs reste 0 ; Le transistor est satur ; c'est l'tat stable du monostable.

    +

    -

    Reset

    R Q

    S /Q+

    -

    8

    6

    5

    2

    1

    4

    3

    7

    E C

    R

    Vc

    E

    E0

    t

    Vc

    1 8

    2 7

    3 6

    4 5

    NE555

    VCCGND

    DISCH

    THRES

    CONTRESET

    OUT

    TRIG

    Comme l'indique son schma interne,le NE555 est constitu de : 2 comparateurs dont les seuils sontfixs par le pont des 3 rsistances R ; d'une bascule SR, avec une entrede forage 0 (RESET) ; d'un transistor pour la dcharge decondensateur externe.

    R

    R

    R

  • 5/20/2018 4_Logique_sequentielle.pdf

    15/24

    1STE ANALYSER LES MONTAGES BASE DU CIRCUITS INTEGR NE 555 L.T MohammediaF.Cours n17 TEMPORISATEURS A BASE DE CIRCUITS INTEGREES Prof : MAHBAB Page 3/3

    Si Vt passe 0 pendant une dure trs courte par rapport la dure qu'on veut dumonostable, alors : (S=1) et (R=0) la sortie Vs est 1 ; Le transistor est bloqu, ce qui permet au condensateur C de se charger travers R.

    Quand Vc, aprs un temps qui dpend de R et C, atteint 2/3 de E, on a : (S=0) et (R=1) la sortie Vs est 0 ; Le transistor est satur ; on revient l'tat de repos.

    L'expression de la dure de temporisation TWest :

    TW= R.C.ln3 TW= 1,1.R.C

    3.2. FONCTIONNEMENT EN ASTABLE :

    Il y a plusieurs variantes de cette fonction ; on va tudier la plus courante.

    On suppose qu'au dmarrage, le condensateur C est dcharg et la sortie Vs 1 ; alors lesvariables du montage sont positionnes ainsi : Les entres de la bascule (S=0) et (R=0) Etat mmoire de la bascule et Vs reste 1 ;

    Le transistor est bloqu, ce qui permet au condensateur C de se charger travers (R A+RB).

    Quand Vc, aprs un temps qui dpend de (RA + RB) et C, atteint 2/3 de E, on a : (S=0) et (R=1) la sortie Vs est 0 ; Le transistor est satur ; ce qui permet au condensateur C de se dcharger travers la

    rsistance RB. La tension Vc diminue alors.

    Quand Vc, aprs un temps qui dpend de RBet C, atteint 1/3 de E, on a : (S=1) et (R=0) la sortie Vs est 1 ; Le transistor est bloqu ; le cycle recommence. Il s'agit bien d'un oscillateur.

    L'expression de la priode est T = TL+ TH, avec :

    TL=RB.C.ln2 et TH= (RA +RB).C.ln2 T= 0,7(RA + 2.RB).C

  • 5/20/2018 4_Logique_sequentielle.pdf

    16/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n18 LES REGISTES Prof: MAHBAB Page 1 / 2

    1. Prsentation :

    Un registre est constitu dun assemblage de n bascules D permettant la mmorisationtemporaire de n bits avec ou sans dcalage. L'information est emmagasine sur un signal decommande et ensuite conserve et disponible en lecture.

    2. Le registre de mmorisation :

    Les quatre bits D0, D1, D2et D3sont mmoriss dans les 4 bascules sur la mme impulsiond'horloge, le mot binaire prsent en entre est donc mmoris.

    Le signal dhorloge permet lcriture (Write) des donnes D0, D1, D2et D3, dans les 4bascules.

    3. Les registres dcalage :

    Dans un registre dcalage les bascules sont interconnectes de faon ce que l'tat

    logique de la bascule de rang i puisse tre transmis la bascule de rang i+1 (ou i-1) quand unsignal d'horloge est appliqu l'ensemble des bascules.L'information peut tre charge de deux manires :

    Entre parallle : comme dans le cas d'un registre de mmorisation ;

    Entre srie : l'information est prsente squentiellement bit aprs bit l'entre dela premire bascule. Le dcalage peut alors tre vers la gauche ou la droite.

    De mme, linformation peut tre lue en srie ou en parallle.

    3.1. Les modes de fonctionnement dun registre dcalage :

    3.2. Exemple de registre dcalage :

    Linformation entrante qui est insre bit par bit (en srie) est reconstitue au rythme dusignal dhorloge sur un format parallle.

    Exemple de registre criture srie avec dcalage droite :

    D3 Q3

    H Q3

    D3

    Q3

    D2 Q2

    H Q2

    D2

    Q2

    D1 Q1

    H Q1

    D1

    Q1

    D0 Q0

    H Q0

    D0

    Q0

    w

    r3 r2 r1 r0

    Ecriture / Lecture

    arallle

    r3 r2 r1 r0

    Lecture parallle

    SR r3 r2 r1

    r3 r2 r1 r0

    Ecriture srie avec

    dcala e droite

    r2 r1 r0 SL

    r3 r2 r1 r0

    Ecriture srie avec

    dcala e auche

  • 5/20/2018 4_Logique_sequentielle.pdf

    17/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n18 LES REGISTES Prof: MAHBAB Page 2 / 2

    Exemple de chronogramme :

    Un registre dcalage universel aura donc la structure suivante :

    Parmi les registres universels, on trouve le 74194 qui est un registre chargement

    parallle ou srie, avec la possibilit dun dplacement de linformation vers la droite (QAversQD) ou la gauche (QDvers QA).

    Registres intgrs (exercice):

    Brochage et table de vrit du 74 194

    D3 Q3

    H Q3

    D0 Q0

    H Q0

    D1 Q1

    H Q1

    D2 Q2

    H Q2

    H

    SR

    Q3 Q2 Q1 Q0

    H

    SR

    Q3

    Q2

    t

    t

    t

    t

    Q1

    t

    Q0

    t

  • 5/20/2018 4_Logique_sequentielle.pdf

    18/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n19 Les mmoires lectroniques Prof : MAHBAB Page 1 / 2

    1. Prsentation :

    Une cellule mmoire est un lment bistable capable demmagasiner puis de restituer unbit d'information (0 ou 1). EX : bascule, Disquette

    2. Mmoires lectroniques :

    2.1. Mmoire morte (ROM) :

    C'est une mmoire lecture seule, son contenu est non modifiable, elle reste inchangemme s'il y a coupure d'alimentation. On dit alors qu'elle est non volatile.

    Les ROM sont utilises pour stocker des informations figes telles que des programmes fixesdans des machines programmes ou les tables de conversion de donnes.Le contenu est fix la construction ou par lutilisateur et la disparition de lalimentationlectrique naltre pas le contenu.

    2.2. Mmoire vive (RAM) :

    C'est une mmoire accs alatoire, on peut chaque instant changer son contenu. LesRAM perdent leurs informations si on coupe lalimentation, on dit quelles sont volatiles.

    Ds quun systme doit conserver temporairement des informations, la RAM trouve saplace. En informatique, elles sont largement mises en uvre en quantits importantes (plus de16 Mo en micro informatique et plusieurs centaines de mga octets en mini informatique).

    2.3. Les mmoires programmables et effaables par lutilisateur :

    Les mmoires programmables sont intermdiaires entre les RAM et les ROM. Leur contenupeut tre dfini par lutilisateur et subsister sans alimentation lectrique.On en rencontre de diffrentes familles :

    Les PROM (Programmable ROM) : sont composes de fusibles que lon peut dtruire uneseule fois ;

    Les EPROM (Erasable PROM) : ce sont des mmoires effaables par ultraviolet etprogrammables lectriquement ;

    Les EEPROM (Electrical Erasable PROM) : ce sont des mmoires effaables etprogrammables lectriquement.

    3. Organisation interne :

    3.1. Capacit :

    Cest la quantit dinformation qui peut tre stocke dans la mmoire. Elle sexprime en bits

    ou en mots de n bits. Par exemple :64b, 4Kb, 8Ko (o : octet) avec 1o = 8bits ; 1K = 210= 1024 ; 1M = 220= 1048576

    3.2. Longueur de mot :

    Cest la faon avec laquelle les bits sont organiss ou rangs, en gnral par mot de 8 bitsou de 4 bits.

    Un mot de 8bits :1 Octet Un mot de 4bits : 1 Quartet

    3.3. Adresse :

    Pour identifier les mots on donne chacun une adresse, on dit alors case mmoired'adresse 40, case mmoire d'adresse FF etc.

    3.4. Le temps daccs :

    Cest le temps qui scoule entre une demande dinformation et le moment o elle esteffectivement disponible.

  • 5/20/2018 4_Logique_sequentielle.pdf

    19/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    F.Cours n19 Les mmoires lectroniques Prof : MAHBAB Page 2 / 2

    7

    6

    5 3

    4 1 0 1 0 2

    3 1 x x x x x x x x

    2 0

    1

    0 x x x x

    8 mots de 4 bits ou 8 quartets 4 mots de 8 bits ou 4 octets8 mots de 4 bits = 32 bits 4 mots de 8 bits = 32 bits

    3.5. Dcodage d'adresse :

    Capacit = 2 nombre de lignes dadressex nombre de lignes de donnes

    Pour lexemple ci-dessus : Capacit = 23x 4 bits = 8 x 4 bits = 32 bitsCapacit = 8 x 4 bits = 8 q

    On peut donc utiliser une mmoire soit en :

    lecture :

    Appliquer le mot adresse sur le bus dadresse;

    Slectionner le botier mmoire en appliquant un niveau logique bas sur la ligne CS ;

    Slectionner le mode lecture en appliquant un niveau logique hautsur la ligne R/W; criture :

    Appliquer le mot dadresse sur le bus dadresse;

    Appliquer le mot de donne sur le bus de donnes;

    Slectionner le botier mmoire en appliquant un niveau logique bassur la ligne CS;

    Slectionner le mode criture en appliquant un niveau logique bassur la ligne R/W ;

    Schma fonctionnel d'une mmoire

    IN/OUT

    D3 D2 D1 D0DATA I/O

    A2

    A1

    A0

    Dcodeur

    Dadresses

    R/W/CS

    DATA : Donne

    IN/OUT : Entre/Sortie

    A2, A1, A0 : lignes dadresse ouBus dadresse

    D3, D2, D1, D0 : lignes de donnes ouBus de donnes

    R/W : signal de lecture/criture

    CS :signal de slection du boitier

    MEMOIRE2pdonnes de n bits

    p n

    CS R/W

    Chip select Read/Write

    Bus de donnesbidirectionnel

    Bus dadresseunidirectionnel

  • 5/20/2018 4_Logique_sequentielle.pdf

    20/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    TD LES COMPTEURS Prof: MAHBAB Page 1 / 5

    1. Exercice compteur synchrone modulo 16 :

    A- Table de vrit :

    q3 q2 q1 q0 Q3 Q2 Q1 Q0 J3 K3 J2 K2 J1 K1 J0 K00 0 0 0 0 0 0 1

    0 0 0 1 0 0 1 0

    0 0 1 0 0 0 1 1

    0 0 1 1 0 1 0 0

    0 1 0 0 0 1 0 1

    0 1 0 1 0 1 1 0

    0 1 1 0 0 1 1 1

    0 1 1 1 1 0 0 0

    1 0 0 0 1 0 0 1

    1 0 0 1 1 0 1 0

    1 0 1 0 1 0 1 1

    1 0 1 1 1 1 0 0

    1 1 0 0 1 1 0 1

    1 1 0 1 1 1 1 0

    1 1 1 0 1 1 1 1

    1 1 1 1 0 0 0 0

    B- Tableau de Karnaugh :

    q Q J K

    0 0

    0 1

    1 0

    1 1

    Table dexcitation de

    la bascule JK

    H

    R

    CompteurModulo 16

    Il faut...

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J0 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K0 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J1 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K1 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J2 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K2 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J3 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K3 =..

  • 5/20/2018 4_Logique_sequentielle.pdf

    21/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    TD LES COMPTEURS Prof: MAHBAB Page 2 / 5

    C- Logigramme :

    2. Exercice compteur synchrone modulo 8 (0, 3, 6, 8, 10, 12, 13, 15) :

    A- Table de vrit :

    q3 q2 q1 q0 Q3 Q2 Q1 Q0 J3 K3 J2 K2 J1 K1 J0 K00 0 0 0 0 0 1 1

    B- Tableau de Karnaugh :

    Q1.Q0

    Q3.Q2 00 01 11 1000

    01

    11

    10

    J0=..

    Q1.Q0

    Q3.Q2 00 01 11 1000

    01

    11

    10

    K0=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J1=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K1=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J2=..Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K2 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J3=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K3 =..

    q Q J K

    0 0

    0 1

    1 0

    1 1

    Table dexcitation de la bascule JK

    J0 Q0

    H

    K0 Q0Clr

    H

    R

    J1 Q1

    H

    K1 Q1Clr

    J2 Q2

    H

    K2 Q2Clr

    J3 Q3

    H

    K1 Q3Clr

    H

    R

    Compteur

    Modulo 8

    Il faut...

  • 5/20/2018 4_Logique_sequentielle.pdf

    22/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    TD LES COMPTEURS Prof: MAHBAB Page 3 / 5

    C- Complter le tableau suivant :

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q00 0 0 0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    Rem

    ise0ou

    8me

    impulsion

    1re

    impulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    2me

    impulsion

    3me

    impulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    4me

    imp

    ulsion

    5me

    imp

    ulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    6me

    impulsion

    7me

    impulsion

    D- Logigramme :

    E- Chronogramme :H

    Q3

    t

    Q2

    Q1

    Q0

    t

    t

    t

    t

    R

    H

    J0 Q0

    H

    K0 Q0Clr

    J1 Q1

    H

    K1 Q1Clr

    J2 Q2

    H

    K2 Q2Clr

    J3 Q3

    H

    K3 Q3Clr

  • 5/20/2018 4_Logique_sequentielle.pdf

    23/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    TD LES COMPTEURS Prof: MAHBAB Page 4 / 5

    3. Exercice compteur synchrone modulo 10 :

    A- Table de vrit :

    q3 q2 q1 q0 Q3 Q2 Q1 Q0 J3 K3 J2 K2 J1 K1 J0 K00 0 0 0 0 0 0 1

    0 0 0 1 0 0 1 0

    0 0 1 0 0 0 1 1

    0 0 1 1 0 1 0 0

    0 1 0 0 0 1 0 1

    0 1 0 1 0 1 1 0

    0 1 1 0 0 1 1 1

    B- Tableau de Karnaugh :

    C- Complter le tableau suivant :

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q00 0 0 0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    Remise0ou

    10me

    impulsion

    1re

    impulsion

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J0=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K0=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J1=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K1=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J2=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K2 =..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    J3=..

    Q1.Q0

    Q3.Q2 00 01 11 10

    00

    01

    11

    10

    K3 =..

    q Q J K

    0 0

    0 1

    1 0

    1 1

    Table dexcitation de la bascule JK

    H

    R

    Compteur

    Modulo 10

    Il faut...

  • 5/20/2018 4_Logique_sequentielle.pdf

    24/24

    1STE IDENTIFIER LES FONCTIONS DE BASE DES CIRCUITS SEQUENTIELS L.T Mohammedia

    TD LES COMPTEURS Prof: MAHBAB Page 5 / 5

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    2me

    impulsion

    3me

    impulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    4me

    impulsion

    5me

    impulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    6m

    e

    impulsion

    7m

    e

    impulsion

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    J3 K3 J2 K2 J1 K1 J0 K0 J3 K3 J2 K2 J1 K1 J0 K0

    8me

    impulsion

    9me

    impulsion

    D- Logigramme :

    E- Chronogramme :

    H

    J0 Q0

    H

    K0 Q0Clr

    R

    J1 Q1

    H

    K1 Q1Clr

    J2 Q2

    H

    K2 Q2Clr

    J3 Q3

    H

    K3 Q3Clr

    H

    Q3

    Q2

    Q1

    Q0

    t

    t

    t

    t

    t