2011 advanced lithography - spiespie.org/documents/conferencesexhibitions/al11-final-l.pdf · world...

76
Conference Dates 27 February – 3 March 2011 San Jose Marriott and San Jose Convention Center San Jose, California, USA spie.org/al 20 11 Advanced Lithography Technical Program Connecting minds for global solutions Technologies - Optical Microlithography - EUV Lithography - Advances in Resist Materials and Processing Technology - Metrology, Inspection, and Process Control - Alternative Lithographic Technologies - Design for Manufacturability through Design-Process Integration

Upload: others

Post on 22-Aug-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Conference Dates

27 February – 3 March 2011

San Jose Marriott and San Jose Convention CenterSan Jose, California, USA

spie.org/al

2011Advanced LithographyTechnical Program

Connecting minds for global solutions

Technologies- Optical Microlithography

- EUV Lithography

- Advances in Resist Materials and Processing Technology

- Metrology, Inspection, and Process Control

- Alternative Lithographic Technologies

- Design for Manufacturability through Design-Process Integration

Page 2: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

spie.org/al

Technical Program

WelcomeYour attendance at SPIE Advanced

Lithography increases your company’s

footprint in the lithography industry

through access to the latest research,

networking opportunities, and

technology on display.

27 February – 3 March 2011San Jose Marriott and San Jose Convention Center

San Jose, California, USA

Page 3: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 1

ContentsWelcome. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

SPIE Advanced Lithography Sponsors . . . . . . . . . 3

Floor plans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

Daily Schedule . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

Plenary Presentations and Awards. . . . . . . . . . . .6-7

Special Events . . . . . . . . . . . . . . . . . . . . . . . . . . .8-9

Exhibition Information . . . . . . . . . . . . . . . . . . . . . 10

General Information . . . . . . . . . . . . . . . . . . . . .11-13

Course Daily Schedule . . . . . . . . . . . . . . . . . . . . . 15

Technical Conference Session Schedule . . . . .16-17

Technical ConferencesExtreme Ultraviolet (EUV) Lithography II . . . . . . . . . . . . . . . . . . . . . . .18-52

Alternative Lithographic Technologies III. . . . . . . . . . . . . . . . . . . . . .18-56

Metrology, Inspection, and Process Control for Microlithography XXV . . . . . .18-56

Advances in Resist Materials and Processing Technology XXVIII. . . . . . . . . 18-43

Optical Microlithography XXIV . . . . . . . . 18-57

Design for Manufacturability through Design-Process Integration V . . . . . . . . . .18-57

Index of Authors, Chairs, and Committee Members . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58-70

Proceedings of SPIE . . . . . . . . . . . . . . . . . . . . . . 71

Publication Order Form . . . . . . . . . . . . . . . . . . . . 72

Sponsored by

Promotional Partners:

Future Fab International Magazine

Photonics Online

Semiconductor Fabtech

SPIE would like to express its deepest appreciation to the symposium chairs, conference chairs, program committees, and session chairs who have so generously given their time and advice to make this symposium possible.

The symposium, like our other conferences and activities, would not be possible without the dedicated contribution of our participants and members. This program is based on commitments received up to the time of publication and is subject to change without notice.

Page 4: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

2 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Executive Committee

Robert D. Allen, IBM Almaden Research Ctr.

Will Conley, Freescale Semiconductor, Inc.

Mircea V. Dusa, ASML US, Inc.

Donis G. Flagello, Nikon Research Corp. of America

Daniel J. C. Herr, Semiconductor Research Corp.

Bruno La Fontaine, Cymer Inc.

Harry J. Levinson, GLOBALFOUNDRIES Inc.

Mark E. Mason, Texas Instruments Inc.

Patrick P. Naulleau, Lawrence Berkeley National Lab.

Christopher J. Raymond, Nanometrics, Inc.

Michael L. Rieger, Synopsys, Inc.

Mark H. Somervell, Tokyo Electron America, Inc.

Alexander Starikov, I&I Consulting

William M. Tong, KLA Tencor Corp.

Advisory Committee

Robert D. Allen, IBM Almaden Research Ctr.

William H. Arnold, ASML US, Inc.

Timothy A. Brunner, IBM Thomas J. Watson Research Ctr.

Ralph R. Dammel, AZ Electronic Materials USA Corp.

Roxann L. Engelstad, Univ. of Wisconson, Madison

Roderick R. Kunz, MIT Lincoln Lab.

Burn Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)

Chris A. Mack, lithoguru.com

Victor Pol, Freescale Semiconductor, Inc.

Christopher J. Progler, Photronics, Inc.

Michael T. Postek, National Institute of Standards and Technology

Luc Van den hove, IMEC (Belgium)

C. Grant Willson, The Univ. of Texas at Austin

Anthony Yen, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)

Welcome

The chip industry continues to name lithography as a critical challenge in fabricating next-generation integrated circuits. Historically, the lithography community has successfully met any challenge the semiconductor industry has faced, from bringing immersion lithography into mainstream production to working with chip designers on improving manufacturability. However, to continue the required IC technology progression will demand unprecedented ingenuity, the introduction of new lithographic technologies into production, greater cost effi ciency, and communication within the lithography community and across interdisciplinary lines.

For the past 35 years, SPIE Advanced Lithography has played a key role in bringing the lithography community together to solve challenges required by the semiconductor industry. Symposium participants come from an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists, metrology, materials characterization, and design and process integration. Through a series of provocative panel discussions and seminars, the symposium further probes current issues to be faced as we extend these technologies or try to switch to alternative technologies.

SPIE Advanced Lithography is organized into six conferences:• Alternative Lithographic Technologies• Extreme Ultraviolet Lithography• Metrology, Inspection, and Process Control for Microlithography• Advances in Resist Materials and Processing Technology• Optical Microlithography• Design for Manufacturability through Design-Process Integration

All conferences are organized by current practitioners of the art and numerous courses are taught by recognized industry experts. Additional information is available from the many manufacturers’ exhibits, which allow tool makers and material suppliers to showcase new products while interacting one-on-one with customers.

We welcome you to the San Jose Convention Center, San Jose, California for SPIE Advanced Lithography’s 36th year.

Symposium Chairs

Donis G. Flagello, Nikon Research Corp. of America

Harry J. Levinson, GLOBALFOUNDRIES, Inc.

Page 5: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 3

Page 6: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

4 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

San Jose Convention Center and Marriott Hotel

San Jose Convention CenterExhibit and Meeting Room Level

Hall 1

Exhibitor RegistrationAttendee Registration

Third Floor

Hilton Hotel

San Jose Marriott, Second Floor

Speaker Check-in DeskInternet PavilionSPIE Marketplace

Page 7: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 5

SUNDAY | MONDAY | TUESDAY | WEDNESDAY | THURSDAY

Daily Schedule

Conferences7969 Extreme Ultraviolet (EUV) Lithography II (La Fontaine) p. 18-52

7970 Alternative Lithographic Technologies III (Herr) p. 18-56

7971 Metrology, Inspection, and Process Control for Microlithography XXV (Raymond) p. 18-56

7972 Advances in Resist Materials and Processing Technology XXVIII (Allen) p. 18-43

7973 Optical Microlithography XXIV (Dusa) p. 18-57

7974 Design for Manufacturability through Design-Process Integration V (Rieger) p. 18-57

Welcome and Announcements (Flagello), 8:00 to 8:30 am, p. 6

Plenary Presentation: Extreme Technology for a Sustainable World (Van den hove), 8:30 to 9:15 am, p. 6

Plenary Presentation: Thoughts on Extending Moore’s Law in the New Decade (Chiang) 9:15 to 10:00 am, p. 7

Nanotechnology in Microlithography Panel Discussion: Leveraging Semiconductor Expertise in Nanotechnology and Patterning for Green Energy Solutions (Soles, Silver) 6:00 to 8:00 pm, p. 8

BACUS Panel Discussion: Mask Makers Paradigm: Collaborate to be More Competitive (Kasprowicz, Buck), 7:00 to 8:30 pm, p. 8

Women in Optics Networking Lunch, 12:00 to 1:00 pm, p. 8

Panel Discussion: Celebrating 25 Years of Innovation: Challenge Your Knowledge (Adan), 4:30 to 5:30 pm, p. 8

Panel Discussion: Progress toward the sub-22-node (Conley, Dusa), 7:30 to 9:30 pm, p. 9

Poster Reception(Conferences 7971, 7972) 6:00 to 8:00 pm, p. 9

Poster Reception(Conferences 7969, 7970, 7973, 7974) 6:00 to 8:00 pm, p. 9

Panel Discussion: Economics of Lithography for Alternative Applications (Tong), 7:30 to 9:30 pm, p. 9

Reference Metrology Workshop: Is Our Patterning Metrology Running Out of Steam? (Vladár, Mieher), 3:50 to 5:10 pm, p. 9

SPIE CoursesSee course schedule p. 15.

Register for courses at the SPIE registration desk.

Special Events

EXHIBITION, p. 10 10:00 am to 5:00 pm 10:00 am to 4:00 pm

Page 8: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

6 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Plenary Presentations

Welcome and AnnouncementsConvention Center Ballroom A

Monday 28 February . . . . . . . . . . . . . . . . . . . . . . . . 8:00 to 8:30 am

Symposium Chair: Donis G. Flagello, Nikon Research Corp. of America

• Introductions of New SPIE Fellows

• Presentation of the 8th Frits Zernike Award for Microlithography

Zernike Award sponsored by

Plenary PresentationsConvention Center Ballroom A

Monday 28 February . . . . . . . . . . . . . . . . . . . . . . . 8:30 to 10:00 am

Sponsored by

8:30 to 9:15 am:

Extreme Technology for a Sustainable World

Luc Van den hovePresident and Chief Executive Offi cerIMEC (Belgium)

Cheap-person centric nomadic communication where virtual communities are experienced as real life, remote health monitoring systems, improved diagnostics and personalized medicines, smart grid, sustainable

mobility ... All these smart systems will play an essential role in fi nding solutions for the grand challenges today’s society is facing.

Tomorrow’s smart systems will require extreme computation and storage capabilities, orders of magnitude above what the processors and memories of today can deliver. Thus there is a need to keep on scaling, pushing technology to its extreme.

For more than 10 years now, transistor scaling is considered to have reached its limits. But we have always found new solutions. To get to ultra-small dimensions beyond 10nm, we have to use new materials with high electron mobility such as germanium, III-V materials or graphene. And we have to look to new transistor architectures such as TunnetFETs with heterojunctions. And a lot is expected from the third dimension, which allows even more functionality, compute power and memory on a chip. Also new memory concepts such as resistive RAM, fl oating-body RAM and vertical Flash memory are coming up to further reduce the cost and maximizing the memory density as required by the emerging high-end applications.

Looking at the lithography landscape, there are three persisting trends. One is that EUV lithography is slowly maturing towards production-ready tools, not expected to take over the main role before 2014. The issues that are holding back EUV are well known: the light source, which is being improved steadily; mask inspection and defects; and last, but not a real showstopper, resists.

Luckily, 193nm immersion lithography is inching, nanometer per nanometer, past all limits that we thought insurmountable just a few years ago. It will most probably allow us to maintain the scaling pace until EUV is ready. The name of the game now is computational lithography, a basket of powerful computational tools that allow printing ever fi ner details, linked to ever more powerful scanner knobs. In combination with double patterning, computational lithography will allow us to print 22nm half pitch layouts.

And last and less prominent, there are a few alternative techniques for 193nm and EUV lithography. These, however, are unable to catch up with EUV, and currently trend towards their own niche: mask writing for maskless e-beam lithography; hard drive lithography for nano-imprint.

These three developments have their own dynamics, but they are bound together and driven by the scaling roadmap.

Biography: Luc Van den hove, is currently President and Chief Executive Offi cer at IMEC, Leuven, Belgium, He joined IMEC in 1984. He started his career at IMEC in the fi eld of silicide and interconnect technologies. Subsequently he has held several management positions: as from 1988: manager of IMEC’s Micro-Patterning group (lithography, dry etching); 1996: Department Director of Unit Process Step R&D; 1998: Vice President in charge of the Silicon Process and Device Technology division; 2007 Chief Operating Offi cer. He has received his Ph.D. in Electrical Engineering from the University of Leuven, Belgium. Currently, he is also Professor at the University of Leuven. He has written 1 chapter of a book and authored or co-authored more than 100 publications and conference contributions.

All Plenary Sessions are included with your technical conference registration.

Aatere

Page 9: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 7

9:15 to 10:00 am:

Thoughts on Extending Moore’s Law in the New Decade

Shang-Yi ChiangSenior Vice President, Research & DevelopmentTaiwan Semiconductor Manufacturing Co. (Taiwan)

As we enter the 2nd decade of the 21st century, the semiconductor industry has been following Moore’s law for over fi fty years. Now, we are again approaching a critical crossroad, because, among other factors, we

are about at the limit of conventional optical lithography - the enabler of our business. The new buzz word, for both logic and memory devices, is double-patterning (DP). However, how many device generations can DP keep us on the Moore’s law curve? Here, we not only have to follow the laws of physics but also those of economics: device manufacturing cost cannot increase out of proportion from one generation to the next. Hence we eagerly look forward to the next-generation lithographic (NGL) technologies. Then again, the same physical and economical laws apply, plus timing. The entire NGL infrastructure, be it for EUV or MEBDW, needs to be available and cost-effective in no later than 2013. Besides lithography, new materials, device structures, and interconnect schemes must also be developed in the next few years to keep us on that curve.

Concurrently, our industry has begun to pay attention to the vertical dimension. 3D integration is beyond Moore’s original vision and prediction, but conforms nonetheless to them in spirit. If the progress in shrinking the in-plane dimensions is to slow down, vertical integration can help increasing the areal device density and keep us on the Moore’s law curve, if it is generalized to also include the 3rd dimension. Besides, it gives us integration options hitherto not available with mere 2D integration of devices.

Any successful business should be economically profitable, contribute to societal progress, and provide gainful employment. Our business is of no exception. To remain committed to these goals, we have no choice but to continue investment in research and development, promote innovation, and encourage close collaboration of all participants in the semiconductor value chain. Moving forward, our tasks will only become more arduous. Leading-edge semiconductor manufacturers, their customers, and suppliers will have to work more closely and harder than before to be able to share the resulting benefi ts.

Biography: Shang-Yi Chiang joined TSMC in July 1997 as Vice President of Research and Development (R&D). He temporarily retired from TSMC as Senior Vice President of R&D in July 2006 and returned in September 2009 to resume this position. Shang-yi, a fellow of IEEE, received his Bachelor of Science degree from National Taiwan University in 1968, his Master of Science degree from Princeton University in 1970, and his Doctorate from Stanford University in 1974, all in electrical engineering.

Plenary Presentations and Awards

Award Presentation Announcements

Diana Nyyssonen Memorial Award (Conf. 7971)Conv. Ctr. Ballroom C

Monday 28 February . . . . . . . . . . . . . . . . . . . . . . 10:30 to 11:20 am

Presentation of the 2010 Diana Nyyssonen Memorial Award for the Best Paper on Metrology in the 2010 Conference: Metrology, Inspection, and Process Control for Microlithography

Award Announcements for conference on Advances in Resist Materials and TechnologyConv. Ctr. Ballroom A

Monday 28 February . . . . . . . . . . . . . . . . . . . . . 2:00 to 2:20 pm

Presentation of the 2010 C. Grant Willson Best Paper Award

Sponsored by

Presentation of the 2010 Jeffrey Byers Memorial Best Poster Award

Sponsored by

Announcement of the new Hiroshi Ito Memorial Award for the Best Student Paper for 2011

Sponsored by

Optical Microlithography Best Student Paper Award (Conf. 7973)Conv. Ctr. Ballroom A2

Thursday 3 March . . . . . . . . . . . . . . . . . . . . . . . . . . 1:10 to 1:20 pm

Sponsored by

The Scientifi c Leadership Award for the Best Student Paper. A monetary award to help support students engaged in the research activities in optical microlithography will be awarded again this year at the Optical Microlithography conference. Student authors and student co-authors are eligible only. A panel of experts will be invited by the conference chairs to serve as judges. The paper (oral or poster) demonstrating scientifi c excellence in presentation, quality, and importance to the lithography community will be selected as the winner of this award.

Award Announcements for conference onAdvances in Resist Materials and TechnologyConv. Ctr. Ballroom A

Monday 28 February . . . . . . . . . . . . . . . . . . . . . 2:00 to 2:20 pm

Presentation of the 2010 C. Grant Willson Best Paper Award

Sponsored by y

Presentation of the 2010 Jeffrey Byers Memorial Best Poster Award

Sponsored byy

Announcement of the new Hiroshi ItoMemorial Award for the Best Student Paperfor 2011

Sponsored byy

Page 10: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

8 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SPIE Fellows LunchMonday 28 February . . . . . . . . . . . . . . . . . . . . . . . 12:00 to 1:30 pm

All Fellows of SPIE are invited to join your colleagues for an SPIE hosted luncheon at Advanced Lithography. Please join us for this informal gathering and a chance to interact with other Fellows.

Nanotechnology in Microlithography Panel Discussion: Leveraging Semiconductor Expertise in Nanotechnology and Patterning for Green Energy SolutionsConvention Center Ballroom B

Monday 28 February . . . . . . . . . . . . . . . . . . . . . . . . 6:00 to 8:00 pm

Panel Moderator: Christopher L. Soles, Richard M. Silver, National Institute of Standards and Technology

It is widely recognized that clean, green, and renewable energy source play a critical role in our Nation’s quest to establish energy independence, create jobs through a healthy manufacturing economy, and ensure economic security. In a recent series of reports (http://www.science.doe.gov/bes/reports/list.html), the Department of Energy has identifi ed several key areas in energy generation and storage where nanostructured materials and interfaces have the potential to enable significant improvements over existing technologies. For many years the semiconductor industry has been the undisputed leader both in implementing patterning techniques for high volume nanopatterning and in developing the supporting metrology and infrastructure to support the manufacturing of these technologies. The question to be addressed here is what role the semiconductor industry can play, with its expertise and vast array of knowledge, processes, and manufacturing equipment, to provide cost effective solutions for next generation energy generation and storage devices. Our panel of experts will review the clean technologies, such as photovoltaics, batteries, supercapacitors, and fuel cells, where nanostructures are poised to have an impact, discuss the challenges of implementing nanotechnology into these manufacturing environments where patterning has yet to be utilized, and discuss the ways in which the semiconductor can contribute to solving this problem of National importance.

Special Events

BACUS Panel Discussion: Mask Makers Paradigm: Collaborate to be More CompetitiveConvention Center Ballroom C

Monday 28 February . . . . . . . . . . . . . . . . . . . . . . . . 7:00 to 8:30 pm

Sponsored by

Panel Moderators: Bryan S. Kasprowicz, Photronics, Inc.; Peter C. Buck, Toppan Photomasks, Inc.

Panelists: Sean D. Doyle, Intel Corp., Christopher J. Progler, Photronics, Inc., Naoya Hayashi, Dai Nippon Printing Co., Inc., Brian Hass, KLA-Tencor Corp., Andrew J. Wall, Hoya Corp. USA, Harry J. Levinson, GLOBALFOUNDRIES Inc., John M. Warlaumont, SEMATECH

After the ‘Mask Makers Holiday’ in the early 90s, we were promised another major relief from the super-tight specifi cation requirements, both from Self-Aligned Double-Patterning or Multiple-Patterning schemes, as well as the high-k1 early EUV implementation that supposedly would not require any OPC/RET or other form of pattern correction.

And we got fooled again. Multi-Patterning schemes came out with much tighter CD requirements, thus making write/registration/process and defect detection much tighter too. EUV, on the other hand, now will require Flare [OPC/MDP] and Off-Axis Illumination [RET] corrections, thus sending us down the same spiral we already saw with the RET schemes for ArF, potentially even tighter, due to the long-range effects of fl are correction. All of the above of course driving cost.

Even though today’s mask is more complex and requires many more manufacturing steps than the simple Chrome on Glass mask of 20 years ago, the issue of mask cost continues to be a driving factor in many Litho decisions and industry trends. In previous panel discussions and forums the mask industry worked tirelessly to justify the cost and educate on the topic of the value that the mask brings, and what the mask offers with respect to other alternatives. And yet the mask industry still continues to work relentlessly to fi nd new ways to provide higher value at a lower cost.

One of the fundamentals of reducing mask costs is understanding the cost components. It turns out that the majority of the cost is capital investment in equipment, facilities and other fi xed costs that are independent of the volume. Further, during the R&D phase and at the early stages of production (much before Ramp) the fi xed cost and depreciation can only be amortized over very small production quantities, thus having a signifi cant infl uence on the mask cost. This issue is further exasperated when a disruptive technology is introduced reducing the chances for equipment reuse from older generation nodes.

Our industry is now facing the eminent introduction of EUV as the next frontier in the everlasting reduction in feature size, possibly down to the mythical limit of 1 nm! The good news/bad news scenario is that EUV masks are no longer an evolutionary path of the existing mask making process. New blanks, inspection, metrology and infrastructure is needed - once again only to serve a small volume of masks. The cost dilemma is upon us again.

Who will lead the way - or better: who can afford to lead the way with such investment? And what is the most economical path to keep the technology moving forward?

The concept of a [Inter] National EUV mask facility was fl oated in some forums over the last year. But even that simple thought was deemed impossible due to IP protection issues and the complexity of collaboration logistics. On the other hand: not doing it may be more of an issue with an ultimate delay in technology introduction.Our panel intends to bring this topic to an open and vibrant discussion to get to the real issues for implementation and weigh pros and cons.

Page 11: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 9

SPIE Women in Optics Networking LunchTuesday 1 March . . . . . . . . . . . . . . . . . . . . . . . . . . 12:00 to 1:00 pm

Location information provided when you sign up to attend.

Join us for an opportunity to network with other professionals at this lunch hosted by SPIE. Register at the SPIE Cashier onsite by 3:00 pm Monday.

Panel Discussion: Celebrating 25 Years of Innovation: Challenge Your KnowledgeConvention Center Ballroom A1

Tuesday 1 March . . . . . . . . . . . . . . . . . . . . . . . . . . . 4:30 to 5:30 pm

Sponsored by

Panel Moderator: Ofer Adan, Applied Materials

An interactive event celebrating 25 years of industry innovation in Metrology, Inspection, and Process Control. Using state-of-the art gaming technology, pit your knowledge of the industry’s challenges, solutions and technical advances over the years with that of our technology experts to win prizes. Join us immediately following for a wine and cheese reception and further discussion with our experts.

Panel Discussion: Progress Toward the Sub-22-NodeConvention Center Ballroom J

Tuesday 1 March . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:30 to 9:30 pm

Panel Moderators: Will Conley, Freescale Semiconduictor, Inc.; Mircea V. Dusa, ASML US, Inc.

Poster ReceptionsExhibition Hall 2

Tuesday 1 March . . . . . . . . . . . . . . . . . . . . . . . . . . . 6:00 to 8:00 pm

(Conferences 7971, 7972)

Wednesday 2 March . . . . . . . . . . . . . . . . . . . . . . . . 6:00 to 8:00 pm

(Conferences 7969, 7970, 7973, 7974)

Tuesday Poster Session/Reception sponsored by

Registered conference attendees are invited to attend the poster sessions and receptions. Authors of poster papers will be present and at their posters during these sessions to answer questions and provide in-depth discussion concerning their posters.

Poster authors may set up their posters after 10:00 am on the day of their poster session. Poster supplies (Push-pins) will be available. Posters can be previewed during the day before the formal poster sessions begins at 6:00 pm.

It is the authors’ responsibility to remove their posters and all other materials at the conclusion of the poster reception for that day. Posters and all material not removed will be considered unwanted and will be discarded. SPIE assumes no responsibility for posters left up after the end of each night’s poster reception.

Attendees and authors are required to wear their conference registration badges to the poster sessions.

Panel Discussion: Economics of Lithography for Alternative ApplicationsConvention Center Ballroom C

Panel Moderator: William M. Tong, KLA-Tencor Corp.

Wednesday 2 March . . . . . . . . . . . . . . . . . . . . . . . . 7:30 to 9:30 pm

The explosive growth of the semiconductor industry has driven the development of lithography in the relentless march to follow Moore’s Law. It is probably no exaggeration that >70% of lithography revenues today are derived from semiconductor production. Historically, cutting-edge lithography has been tailored to the needs of the IC-industry. From a lithography provider’s standpoint, the need of the IC industry overshadows the needs for other applications. However, the IC industry carries high margins but has very high performance metrics which lead to very high cost. These requirements are becoming divergent from those of other emerging applications, such as optics, biotech, or even the hard disk, which are driven by very different economic models. The goal of this panel is to provide a forum to illuminate this blind spot. It will help lithography providers understand the requirements of the emerging applications, and for the applications developers to appreciate the limitations and potentials of the future lithography.

Reference Metrology WorkshopConvention Center Ballroom A1

Thursday 3 March . . . . . . . . . . . . . . . . . . . . . . . . . . 3:50 to 5:10 pm

Workshop Chairs: András E. Vladár, National Institute of Standards and Technology; Walter D. Mieher, KLA-Tencor Corp.

Is Our Patterning Metrology Running Out of Steam?

One could argue that technologies are continually “running out of steam” as older technologies are continuously replaced by new technologies. While this simplistic view may apply to consumer electronics, is there a breakdown of this model for patterning metrology technology? This workshop attempts to explore some key aspects related to this notion.

• Is metrology progress keeping up with the roadmap?

• Is measurement technology getting push-back from fundamental physics limitations?

• Does today’s metrology meet your needs for process control?

• Does today’s metrology enable and support your technology development?

• What important gaps need to be addressed to support current and future nanoelectronics technology development and process control?

• Is accuracy important for semiconductor and nanotechnology?

We will discuss the problems confronting metrologists today and the not-too-distant future. Please bring YOUR concerns, thoughts and ideas to contribute to the discussion.

Special Events

Page 12: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

10 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Contact the SPIE Sales TeamTel: +1 360 676 3290; Fax: +1 360 647 1445; [email protected]

VISIT THE EXHIBITION

Exhibitors as of 31 January 2011Abeam TechnologiesAmuneal Manufacturing

CorporationASMLBenchmark Technologies, Inc.Brewer ScienceBrion Technologies, Inc.Capitol Scientifi c. Inc.Carl Zeiss SMS GmbHDonaldson Co., Inc.Energetiq Technology, Inc.EntegrisEULITHA AGGigaphoton Inc.Gudeng Precision Industrial Co.,

Ltd.Halocarbon ProductsHitachi High Technologies

AmericaIBM Technology and Intellectual

PropertyInko Industrial Corp.Inspectrology LLC

J.A. Woollam Co.JSR Micro, Inc.Mentor GraphicsMGN International, Inc.Micro Lithography Inc.MicroChem Corp.Mitsui Chemicals America, Inc.Molecular Imprints, Inc.Nanometrics Inc.NANO-UVNational Institute of Standards &

TechnologyOMG-CyantekOpto Diode Corp.Owens Design Inc.Pall Corp.Photonics OnlinePozzetta Inc.Precision Asphere Inc.Precision MicroDynamics Inc.Qoniac

RAVE LLCSAES Pure Gas, Inc.SAGEMShin-Etsu MicroSi, Inc.SOKUDO Co., Ltd.Sumika Electronic Materials,

Inc.Synopsys, Inc.Tessera Inc.Tokyo Ohka Kogyo America,

Inc.Transfer Devices, Inc.USHIO America, Inc.Virginia Tech Intellectual

Properties Inc.Vistec Electron Beam

Lithography GroupXEI Scientifi c, Inc.XYALIS

m47 1445; teresar@spie org

See lithography research, development, devices, tools, fabrication, and services.

Exhibition Hours:Tuesday 1 March 10:00 am to 5:00 pmWednesday 2 March 10:00 am to 4:00 pm

Page 13: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 11

General Information

San Jose McEnery Convention Center, 150 West San Carlos, San Jose, CA 95113 USA.

Registration__________________

Registration HoursConvention Center, Concourse 2

Sunday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:00 am to 5:00 pm

Monday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:00 am to 4:00 pm

Tuesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:30 am to 5:00 pm

Wednesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:30 am to 4:00 pm

Thursday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:30 am to 4:00 pm

Exhibition HoursTuesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00 am to 5:00 pm

Wednesday . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00 am to 4:00 pm

Course Materials DeskOpen during registration hours. Located near the SPIE registration area.

If you have registered to attend a course, stop by the Course Materials Desk after you pick up your badge, to obtain your course notes and course location. Pick up a copy of the latest Education Services catalog to see SPIE Courses at symposia, on video and CD-ROM, and to discover the opportunities of customized In-company courses.

Food and Beverage Services____

Coffee Breaks Sponsored by

Complimentary coffee will be served twice each day of the conference at approximately 10:00 am and 3:00 pm. Please check the individual technical conference listings for exact times and locations.

Breakfast Breads

Tuesday Breakfast Breads sponsored by

Breakfast breads and coffee will be served from 7:30 am to 8:30 am Monday through Friday for Symposium attendees in the Convention Center, Ballroom Concourse Monday-Thursday.

LunchTuesday Lunch sponsored by

Wednesday Lunch sponsored by

Full conference registrants will receive a lunch coupon redeemable towards a luncheon purchased Tuesday and Wednesday at designated areas of the Exhibition Hall 1. Coupons will be accepted from 11:30 am to 1:30 pm both days. Some restrictions apply; please refer to the coupons in your registration packet.

Cash Lunches and Exhibition ConcessionsExhibition Hall 1A cash quick lunch stand is available in the foyer of the Convention Center during the week. Exhibition Concessions are located in the back of the exhibition halls on Tuesday-Wednesday, featuring domestic and international cuisine. Choices include hot and cold snacks, beverages, deli-type sandwiches, salads, hot entrees, and pastries. Concessions will be available during exhibition hours. Purchase tickets at the SPIE Cashier.

DessertsExhibition Hall

Tuesday and Wednesday

Dessert snacks will be served from 3:00 to 3:30 pm. Complimentary tickets for the dessert snacks will be included in attendee registration packets.

Presenter Information__________Poster Setup InformationConvention Center Hall 2

Tuesday 1 March and Wednesday 2 March

• Poster authors can set up their posters after 10:00 am. • Paper numbers will be posted on the poster boards in numerical

order; please fi nd your paper number and post your poster in the designated space.

• Poster supplies (Push-pins) will be available. • A poster author or coauthor is required to stand by their poster

during the scheduled poster session to answer questions from attendees.

• Presenters who have not placed their papers on their assigned board by 5:00 pm on the day of their presentation will be considered a “no show” and their manuscript will not be published.

• Presenters must remove their posters immediately after the poster session.

• Posters not removed will be considered unwanted and will be discarded.

• SPIE assumes no responsibility for posters left up after the end of each poster session.

Speaker Check-in DeskConvention Center, Ballroom Concourse

Sunday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2:00 to 6:00 pm

Monday through Thursday . . . . . . . . . . . . . . . . 7:30 am to 5:00 pm

All Conference rooms will have a computer workstation, LCD projector, screen, lapel microphone, and laser pointer. All presenters are requested to come to the Speaker Check-in Desk to confi rm display settings of their presentations from their memory devices or laptops with the audiovisual equipment being used at this symposium.

Page 14: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

12 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

General Information

Child Care Services A few child sitting services available in San Jose are:

1. Bay Area 2nd MOM Inc., Hotel Nanny Service, Toll Free Phone: 1-888-926-3666, or (650) 858-2469, ext. 109. Fax: (650) 493-6598, Email: [email protected], Website: www.2ndmom.com

2. Sitters Unlimited: Toll Free Phone: (408) 452-0225, E-mail: [email protected], website: www.bayareasittersunlimited.com

Note: SPIE does not imply an endorsement or recommendation of these services. They are provided on an “information-only” basis for your further analysis and decision. Other services may be available.

Restaurant Reservations and Information Desk The San Jose Convention and Visitors Bureau operates a Restaurant Reservations and Information Desk on the street level of the Convention Center near the main entrance. The desk will be open Sunday through Thursday during core hours of the convention. For more information visit their website http://www.sanjose.org

Policies______________________Audio, Video, Digital Recording PolicyIn the Meeting Rooms and Poster Sessions: For copyright reasons, recordings of any kind are strictly prohibited without prior written consent of the presenter in any conference session, short course or of posters presented. Each presenter being taped must fi le a signed written consent form. Individuals not complying with this policy will be asked to leave a given session and asked to surrender their fi lm or recording media. Consent forms are available at the SPIE Speaker Check-in Desk. In the Exhibition Hall: For security and courtesy reasons, photographing or videotaping individual booths and displays in the exhibit hall is allowed ONLY with explicit permission from on-site company representatives. Individuals not complying with this policy will be asked to surrender their fi lm and to leave the exhibit hall.

Laser Pointer Safety InformationSPIE supplies tested and safety approved laser pointers for all conference meeting rooms, and for short course rooms if instructors request one. For safety reasons, SPIE requests that presenters use our provided laser pointers available in each meeting room. If using your personal laser pointer: We require that you to come to the Speaker Check-In Desk onsite and test you pointer on our power meter. If the pointer fails the safe power level (<5 mW ) you may not use the pointer at the conference. You will be required to sign a waiver releasing SPIE of any liability for use of potentially non-safe laser pointers. Use of a personal laser pointer at an SPIE event represents user’s acceptance of liability for use of a non-SPIE supplied laser pointer device. Misuse of any laser pointer could lead to eye damage. In California, it is a criminal misdemeanor to shine a laser pointer at individuals “who perceive they are at risk.”

Underage Persons on Exhibition FloorFor safety and insurance reasons, no persons under the age of 16 will be allowed in the exhibition area during move-in and move-out. During open exhibition hours, only children over the age of 12 accompanied by an adult will be allowed in the exhibition area.

Unauthorized SolicitationAny manufacturer or supplier who is not an exhibitor and is observed to be soliciting business in the aisles, or in another company’s booth, will be asked to leave immediately. Unauthorized solicitation in the Exhibition Hall is prohibited.

Onsite Services_______________Internet Pavilion

Sponsored by

SPIE will have a complimentary Internet Pavilion at the Convention Center from Sunday through Thursday during registration hours. Attendees can use provided workstations or hook up their laptop to an Ethernet connection to access the Internet.

Complimentary Internet Wireless AccessSponsored by

SPIE is pleased to provide complimentary wireless access to the Internet for all conference attendees bringing 802.11b wireless-enabled laptops or PDAs. Coverage will be available Sunday through Thursday in the Convention Center Ballroom Concourse and Concourse 2. Properly secure your computer before accessing the public wireless network. Failure to do so may allow unauthorized access to your laptop as well as potentially introduce viruses to your computer and/or presentation.

SPIE Copy CenterSaturday through Sunday during registration hours, San Diego Copy will provide copy service for symposium attendees. The rates are 5 cents per copy. The Copy Center will be located near registration.

SPIE Message Center The SPIE Message Center telephone number is 408-759-7743. Urgent messages will be taken during registration hours Sunday through Thursday.

SPIE Marketplace and Membership ServicesConvention Center, Concourse 1Open during registration hours

The SPIE Marketplace is your source for the latest SPIE Press books, Proceedings, and Educational and Professional Development materials. Become a Member of SPIE, explore the Digital Library, and take home a souvenir.

Industry Resources BoothConvention Center Exhibition Floor

The SPIE Industry Resources Booth provides the tools you need to move ideas and technology to the market. Visit the booth for information on events, marketing opportunities, education, and training that SPIE can provide you to make your venture a success. The booth also features information on SPIE Advancing the Laser activities.

Press and Media CenterThe Press & Media Center provides press conference facilities, refreshments, and press releases from exhibitors. Credentialed media are invited to communicate news via the provided telephone and high-speed internet connections. Preregister by e-mailing name, organization, title, address, e-mail, and phone number to [email protected].

Page 15: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 13

Unsecured ItemsPersonal belongings such as briefcases, backpacks, coats, book bags, etc. should not be left unattended in meeting rooms or public areas. These items will be subject to removal by security upon discovery.

Travel and Hotel______________

Car RentalHertz Car Rental has been selected as the offi cial car rental agency for this Symposium. To reserve a car, identify yourself as an Advanced Lithography Conference attendee using the Hertz Meeting Code CV# 029B0015. Call 1-800-654-2240.

ParkingAt the Convention Center: 150 W. San Carlos St.Max $18 per day. ($1 for each 20 min to max $18.)There are approximately 650 spaces for the public to use.

Alternate Parking Downtown San Jose: River Park Tower Garage, located on the corner of San Carlos and Woz Way, 333 W. San Carlos St. $1.25 per each 20 minutes, $18 daily maximum. Rates and hours subject to change without notice. Approx. 1,000 spaces available each day of the event. Hrs of operation: Mon-Fri - 6:30 am to 12:00 midnight, Sat - 8:00 am to 12 midnight; Sun - 8:00 am - may close at 10:00 pm if event over.

Parking at the HotelsOn space available basis(rates subject to change without notice)

Fairmont San JoseValet OnlyOvernight guests - $26 with in/out privileges.Non-guests - $5 for 1st 30 min, $1.50 for each additional 20 min, max per day is $26. Parking garage is beneath the hotel.

San Jose MarriottOvernight guests - $25 per day with in/out privileges.Non-guests - $6.00 per hour with a maximum of $25/day.

Hilton San Jose & TowersOvernight guests: Self - $19 max. with in/out privileges. Valet $24 max. with in/out privileges and complimentary with validation at the Affi nity Restaurant, up to 5 hours.Non Guests: Self - $19 max., Valet $24 max. and $8 for up to 5 hours with validation from the Affi nity Restaurant.

Crowne PlazaOvernight guests self parking $19 with in/out privileges (no valet). Covered parking garage parallel to hotel.Non-guest parking is $20 daily max. ($7 for 1st hour, then $1 every 1/2 hour to $20 max).

Sainte ClaireOvernight guests Valet Parking Only, $21in/out privileges for those guests who charge the parking to their rooms. Parking garage is not owned by hotel. Fees are subject to change.

General Information

Park and Ride Since parking at the Convention Center can be quite congested at times, try the Park and Ride alternative transportation method, utilizing VTA’s complimentary Park and Ride parking lots to commute to the Convention Center. To see a full listing of Park and Ride lots, visit www.vta.org and click on “Schedules, Maps & Fares” and then “Park and Ride.” Free regular Park and Ride parking is limited to 72 hours.

Hotel InformationSan Jose Marriott, Headquarters Hotel301 South Market St., Tel: 408 280 1300; Fax: 408 278 4444.

Fairmont Hotel170 South Market St., Tel: 408 998 1900; Fax: 408 287 1648.

Hilton San Jose and Towers300 Almaden Blvd., Tel: 408 287 2100; Fax: 408 947 4489.

Crowne Plaza San Jose Hotel282 Almaden Blvd., Tel: 408 998 0400; Fax: 408 289 9081.

The Sainte Claire302 S. Market St., Tel: 408 885 1234; Fax: 408 977 0403.

South Hall

Page 16: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

14 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

19–22 September 2011

Monterey Marriott & Monterey Convention CenterMonterey, California, USA

spie.org/pm

Gain visibility at the premier meeting for the mask industry.

Connecting minds for global solutions

Call for PapersSubmit your abstract by 7 March 2011

Conference ProgramCreate your schedule—search and browse the Technical Program and special events, participants, and exhibitors.

Profi lesMake valuable personal connections— fi nd and contact colleagues based on SPIE research publications, conference involvement, and courses taught.

Geometrical Optics Field GuideTake Field Guides to a new level—access and use equations, linked terms, bookmarks, and interactive fi gures, and take notes.

• Try Geometrical Optics Field Guide Lite for Free!

SPIE Apps for iPhone®

Available from iTunes® and at spie.org/mobileiPhone and iTunes are registered trademarks of Apple Inc.

mbrowse the

FREE

special even

e personal c

FREE

Page 17: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 15E Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 15SPIE Advanced Lit

SPIE reserves the right to cancel courses due to insuffi cient pre-registration.

Money-back GuaranteeWe are confi dent that once you experience an SPIE course for yourself you will look to SPIE for your future education needs. However, if for any reason you are dissatisfi ed, SPIE will gladly refund your money. We just ask that you tell us what you did not like; suggestions for improvement are always welcome.

Continuing Education UnitsSPIE has been approved as an authorized provider of CEUs by IACET, The International Association for Continuing Education and Training (Provider #1002091). In obtaining this approval, SPIE has demonstrated that it complies with the ANSI/IACET Standards which are widely recognized as standards of good practice.

The Society has hand picked some of the top minds

from academia and industry to lead a variety of

courses at SPIE Events.

Register for a course:

- Take advantage of the industry’s best instructors

- Further your career through ongoing education

- Earn CEUs for your continuing education

SPIE COURSESSPIE INSTRUCTORS ARE THE BEST IN THE BUSINESS.

Register for a Course at the registration desk.

Advanced Lithography Course Schedule

SC1030 Basic Physical Design for RET and Lithography Engineers (Yuan) 8:30 am to 12:30 pm, $335 / $385

SC888 EUV Lithography (Bakshi, Ahn, Naulleau) 8:30 am to 5:30 pm, $770 / $870

SC101 Introduction to Microlithography: Theory, Materials, and Processing (Willson, Bowden) 8:30 am to 5:30 pm, $540 / $640

SC116 Lithographic Optimization: A Theoretical Approach (Mack) 8:30 am to 5:30 pm, $585 / $685

SC992 Lithography Integration for Semiconductor FEOL & BEOL Fabrication (Lin, Zhang) 8:30 am to 5:30 pm, $540 / $640

SC887 Modeling of Exposure Tools for OPC and Tooling Analysis (Lai) 8:30 am to 5:30 pm, $540 / $640

SC622 Nano-Scale Patterning with Imprint Lithography (Sreenivasan, Willson, Resnick) 8:30 am to 12:30 pm, $335 / $385

SC885 Principles and Practical Implementation of Double Patterning (Dusa, Hsu) 8:30 am to 5:30 pm, $540 / $640

SC1009 Electron Beam Inspection - Principles and Applications in IC and Mask Manufacturing (Xiao) 1:30 to 5:30 pm, $335 / $385

SC991 Electron Beam Lithography using Massively Parallel Pixel Projection (Pfeiffer) 1:30 to 5:30 pm, $335 / $385

SC103 Chemically Amplifi ed Resists (Willson) 8:30 am to 5:30 pm, $540 / $640

SC616 Practical Photoresist Processing (Dammel) 8:30 am to 12:30 pm, $335 / $385

Sunday 27 February Thursday 3 March

Page 18: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

16 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Conference 7970Alternative Lithographic Technologies III

Opening Remarks · 8:00 to 8:15 am

SESSION 1 · 8:15 to 10:00 amKeynote Session

SESSION 2 · 10:20 am to 12:10 pmNanoimprint Lithography I: CMOS

SESSION 3 · 1:20 to 3:10 pmMaskless Lithography I

SESSION 4 · 3:40 to 5:50 pmDirected Self-Assembly I: Selected Semiconductor Applications: Joint Session with Conference 7972

SESSION 5 · 8:00 to 9:50 amNovel Applications I

SESSION 6 · 10:20 am to 12:10 pmDirected Self-Assembly II: Processing and Fundamentals

SESSION 7 · 1:20 to 3:00 pmNanoimprint Lithography II: Processes and Materials

SESSION 8 · 3:30 to 5:40 pmMaskless Lithography II

SESSION 9 · 8:00 to 9:50 amNanoimprint Lithogrpahy III: Novel NIL Applications

SESSION 10 · 10:20 am to 12:10 pmMaskless Lithography III: Optical Maskless Lithography

SESSION 11 · 1:20 to 3:10 pmNovel Applications II

SESSION 12 · 3:40 to 5:30 pmCross-Cutting Technologies

Conference 7969Extreme Ultraviolet (EUV) Lithography II

SESSION 1 · 1:30 to 3:30 pmInvited Session I

SESSION 2 · 4:00 to 6:00 pmEUV I: Joint Session with Conference 7972

SESSION 3 · 8:00 to 10:00 amSources

SESSION 4 · 10:30 am to 12:10 pmMasks I

SESSION 5 · 1:40 to 3:20 pmOptics and Contamination

SESSION 6 · 3:50 to 5:50 pmTools and OPC

SESSION 7 · 8:00 to 10:00 amMasks II

SESSION 8 · 10:20 am to 12:20 pmEUV II: Joint Session with Conference 7972

SESSION 9 · 1:30 to 3:30 pmResist

SESSION 10 · 4:00 to 5:40 pmMasks III

SESSION 11 · 8:00 to 10:40 amInvited Session II

SESSION 12 · 11:00 am to 12:20 pmDevices

Conference 7971Metrology, Inspection, and Process Control for Microlithography XXV

Introductory Remarks· 10:30 to 11:20 amAward Announcement and 25th Anniversary of the Metrology Conference

SESSION 1 · 11:20 to 11:50 amKeynote Session

SESSION 2 · 1:20 to 3:10 pmMask and Lithography Metrology

SESSION 3 · 3:40 to 5:30 pmScanning Probe Metrology

SESSION 4 · 8:00 to 10:00 amInspection

SESSION 5 · 10:30 am to 12:10 pmLER/LWR

SESSION 6 · 1:40 to 3:00 pmDesign-based Metrology

SESSION 7 · 3:30 to 4:30 pmNew Directions

Panel Discussion · 4:30 to 5:30 pm

SESSION 8 · 8:00 to 9:50 amSEM

SESSION 9 · 10:20 am to 12:00 pmScatterometry

SESSION 10 · 1:20 to 3:00 pmAFM and Standards

SESSION 11 · 3:30 to 5:30 pmInnovative Lithography Process Control: Joint Session

SESSION 12 · 8:00 to 10:00 amOverlay

SESSION 13 · 10:30 to 11:50 amX-ray and Novel Methods

SESSION 14 · 1:20 to 3:20 pmLithography Process Control

Workshop · 3:50 to 5:10 pm

Monday 28 February

Tuesday 1 March

Wednesday 2 March

Thursday 3 March

Technical Conference Session Schedule

Page 19: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 17

Technical Conference Session ScheduleConference 7972Advances in Resist Materials and Processing Technology XXVIII

SESSION 1 · 10:30 am to 12:30 pmInvited SessionAward Announcements · 2:00 am to 2:20 pm

SESSION 2 · 2:20 to 3:30 pmNovel Processing Special Topic: Negative-Tone Development I

SESSION 3 · 4:00 to 6:00 pmEUV I: Joint Session with Conference 7969

SESSION 4 · 8:00 to 10:00 amPitch Reduction and Double Patterning

SESSION 5 · 10:20 am to 12:00 pmResist Fundamentals

SESSION 6 · 1:10 to 3:10 pmNovel Processing Special Topic: Negative-Tone Development II

SESSION 7 · 3:40 to 5:50 pmDirected Self-Assembly I: Selected Semiconductor Applications: Joint Session with Conference 7970

SESSION 8 · 8:00 to 10:00 amSimulation of Lithographic Phenomena

SESSION 9 · 10:20 am to 12:20 pmEUV II: Joint Session with Conference 7969

SESSION 10 · 1:30 to 3:30 pmNovel Materials and Processing I

SESSION 11 · 4:00 to 6:00 pmNovel Materials and Processing II

Conference 7973Optical Microlithography XXIV

Introduction, Opening Remarks, and Special Recognition · 8:20 am to 8:40 pm

SESSION 1 · 8:40 to 10:10 amInvited Session

SESSION 2 · 10:40 am to 12:10 pmFreeForm and SMO

SESSION 3 · 2:00 to 3:40 pmSource and Mask Optimization I

SESSION 4 · 4:10 to 6:10 pmDouble Patterning I

SESSION 5 · 8:00 to 9:30 amDouble Patterning II

SESSION 6 · 9:30 to 10:10 amMask 3D Modeling

SESSION 7 · 10:40 am to 12:00 pmTools and Process Control I

SESSION 8 · 1:20 to 2:20 pmTools and Process Control II

SESSION 9 · 2:20 to 3:00 pmComputational Lithography

SESSION 10 · 3:30 to 5:30 pmInnovative Lithography Process Control: Joint Session with Conference 7971

SESSION 11 · 8:00 to 10:00 amMask and Layout Optimization

SESSION 12 · 10:30 am to 12:10 pmOptical/DFM: Joint Session with Conference 7974Award Announcements · 2:00 am to 2:20 pm

SESSION 13 · 1:20 to 3:00 pmSource and Mask Optimization II

SESSION 14 · 3:30 to 5:30 pmTools

Conference 7974Design for Manufacturability through Design-Process Integration V

SESSION 1 · 3:50 to 5:50 pmKeynote Session

SESSION 2 · 8:00 to 10:00 amDesign

SESSION 3 · 10:30 am to 12:10 pmOptical/DFM: Joint Session with Conference 7973

SESSION 4 · 2:00 to 3:20 pmManufacturing

SESSION 5 · 3:50 to 5:10 pmDouble Patterning

Page 20: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

18 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Conference 7971Room: Monday, Conv. Ctr. Ballroom CTuesday-Wednesday, Conv. Ctr. Ballroom A1

Monday-Thursday 28 February-3 March 2011 • Proceedings of SPIE Vol. 7971

Metrology, Inspection, and Process Control for Microlithography XXVConference Chair: Christopher J. Raymond, Nanometrics Inc.

Conference Co-Chair: Alexander Starikov, I&I Consulting

Program Committee: Ofer Adan, Applied Materials (Israel); John A. Allgair, GLOBALFOUNDRIES Inc. (Germany); Chas N. Archie, IBM Microelectronics Div.; Masafumi Asano, Toshiba Corp. (Japan); Benjamin D. Bunday, International SEMATECH Manufacturing Initiative; Jason P. Cain, Advanced Micro Devices, Inc.; Shaunee Y. Cheng, IMEC (Belgium); Daniel J. C. Herr, Semiconductor Research Corp.; David C. Joy, The Univ. of Tennessee; Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Yi-sha Ku, Industrial Technology Research Institute (Taiwan); Byoung-Ho Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); John C. Robinson, KLA-Tencor Corp.; Martha I. Sanchez, IBM Almaden Research Ctr.; Richard M. Silver, National Institute of Standards and Technology; Vladimir A. Ukraintsev, Nanometrology International, Inc.

Conference 7970Room: Conv. Ctr. Ballroom C

Tuesday-Thursday 1-3 March 2011 • Proceedings of SPIE Vol. 7970

Alternative Lithographic Technologies IIIConference Chair: Daniel J. C. Herr, Semiconductor Research Corp.

Conference Co-Chair: William M. Tong, KLA-Tencor Inc.

Program Committee: Joy Y. Cheng, IBM Almaden Research Ctr.; Juan J. de Pablo, Univ. of Wisconsin-Madison; Elizabeth A. Dobisz, Hitachi Global Storage Technologies, Inc.; Benjamin G. Eynon, Jr., Molecular Imprints, Inc.; Timothy R. Groves, Univ. at Albany; Cynthia Hanson, Space and Naval Warfare Systems Ctr. Pacifi c; Tatsuhiko Higashiki, Toshiba Corp. (Japan); William D. Hinsberg, IBM Almaden Research Ctr.; Bert Jan Kampherbeek, MAPPER Lithography (Netherlands); Ryan J. Kershner, Univ. of Wisconsin-Madison; Sung-Woo Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); J. Alexander Liddle, National Institute of Standards and Technology; Lloyd C. Litt, SEMATECH North and GLOBALFOUNDRIES Inc.; Hans Loeschner, IMS Nanofabrication AG (Austria); R. Scott Mackay, Petersen Advanced Lithography, Inc.; Osamu Nagarekawa, HOYA Corp. (Japan); Laurent Pain, Lab. d’Electronique de Technologie de l’Information (France); Benjamen M. Rathsack, Tokyo Electron America, Inc.; Douglas J. Resnick, Molecular Imprints, Inc.; Frank M. Schellenberg, Consultant; Ines A. Stolberg, Vistec Electron Beam GmbH (Germany); Kevin T. Turner, Univ. of Wisconsin-Madison; James J. Watkins, Univ. of Massachusetts Amherst; Wei Wu, Hewlett-Packard Labs.; Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan)

Conference 7969Room: Conv. Ctr. Ballroom B

Monday-Thursday 28 February-3 March 2011 • Proceedings of SPIE Vol. 7969

Extreme Ultraviolet (EUV) Lithography IIConference Chair: Bruno M. La Fontaine, Cymer, Inc.

Conference Co-Chair: Patrick P. Naulleau, Lawrence Berkeley National Lab.

Program Committee: James W. Blatchford, Texas Instruments Inc.; Robert L. Brainard, Univ. at Albany; Kevin D. Cummings, ASML US, Inc.; Michael Goldstein, Intel Corp.; Francis Goodwin, SEMATECH North; Bryan S. Kasprowicz, Photronics, Inc.; Seong-Sue Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Michael J. Lercel, IBM Corp.; Ted Liang, Intel Corp.; Akira Miyake, Canon Inc. (Japan); Katsuhiko Murakami, Nikon Corp. (Japan); Iwao Nishiyama, Semiconductor Leading Edge Technologies, Inc. (Japan); Christopher S. Ngai, Applied Materials, Inc.; Shinji Okazaki, EUVA (Japan); Uzodinma Okoroanyanwu, GLOBALFOUNDRIES Inc.; Jan-Hendrik Peters, Advanced Mask Technology Ctr. GmbH Co. KG (Germany); Jorge J. Rocca, Colorado State Univ.; Kurt G. Ronse, IMEC (Belgium); Tsutomu Shoki, HOYA Corp. (Japan); Stanley E. Stokowski, KLA-Tencor Corp.; Kazuaki Suzuki, Nikon Corp. (Japan); Obert R. Wood II, GLOBALFOUNDRIES Inc.; Jeong-Ho Yeo, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

Conference 7969 continues on page 20.

Conference 7970 continues on page 24.

Conference 7971 continues on page 20.

Conference sponsor:

Conference sponsor:

Page 21: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 19

Conference 7974Room: Conv. Ctr. Ballroom A4

Wednesday-Thursday 2-3 March 2011 • Proceedings of SPIE Vol. 7974

Design for Manufacturability through Design-Process Integration VConference Chair: Michael L. Rieger, Synopsys, Inc.

Conference Co-Chair: Mark E. Mason, Texas Instruments Inc.

Program Committee: Robert Aitken, ARM Inc.; Luigi Capodieci, GLOBALFOUNDRIES Inc.; Juan-Antonio Carballo, Netlogic Microsystems, Inc.; Lars W. Liebmann, IBM Corp.; Chul-Hong Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Larry Pileggi, Carnegie Mellon Univ.; Vivek K. Singh, Intel Corp.; John L. Sturtevant, Mentor Graphics Corp.

Conference 7973Room: Conv. Ctr. Ballroom A2

Tuesday-Thursday 1-3 March 2011 • Proceedings of SPIE Vol. 7973

Optical Microlithography XXIVConference Chair: Mircea V. Dusa, ASML US, Inc.

Conference Co-Chair: Will Conley, Freescale Semiconductor, Inc.

Program Committee: Pary Baluswamy, Micron Technology, Inc.; Peter D. Buck, Toppan Photomasks, Inc.; Andreas Erdmann, Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany); Nigel R. Farrar, Cymer, Inc.; Carlos Fonseca, Tokyo Electron America, Inc.; Soichi Inoue, Toshiba Materials Co., Ltd. (Japan); Jongwook Kye, GLOBALFOUNDRIES Inc.; Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Kafai Lai, IBM Corp.; Sukjoo Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Wilhelm Maurer, Infi neon Technologies AG (Germany); Soichi Owa, Nikon Corp. (Japan); Sam Sivakumar, Intel Corp.; Bruce W. Smith, Rochester Institute of Technology; Kazuhiro Takahashi, Canon Inc. (Japan); Geert Vandenberghe, IMEC (Belgium)

Conference 7972Room: Monday, Conv. Ctr. Ballroom ATuesday-Wednesday, Conv. Ctr. Ballroom A3

Monday-Wednesday 28 February-2 March 2011 • Proceedings of SPIE Vol. 7972

Advances in Resist Materials and Processing Technology XXVIIIConference Chair: Robert D. Allen, IBM Almaden Research Ctr.

Conference Co-Chair: Mark H. Somervell, Tokyo Electron America, Inc.

Program Committee: Ramakrishnan Ayothi, JSR Micro, Inc.; George G. Barclay, Dow Electronic Materials; Luisa D. Bozano, IBM Almaden Research Ctr.; Sean D. Burns, IBM Corp.; Ralph R. Dammel, AZ Electronic Materials USA Corp.; Roel Gronheid, IMEC (Belgium); Douglas J. Guerrero, Brewer Science, Inc.; Clifford L. Henderson, Georgia Institute of Technology; Christoph K. Hohle, Fraunhofer-Ctr. Nanoelektronische Technologien (Germany); Scott W. Jessen, Texas Instruments Inc.; Yoshio Kawai, Shin-Etsu Chemical Co., Ltd. (Japan); Qinghuang Lin, IBM Thomas J. Watson Research Ctr.; Nobuyuki N. Matsuzawa, Sony Corp. (Japan); Dah-Chung Owe-Yang, Shin-Etsu MicroSi, Inc.; Daniel P. Sanders, IBM Almaden Research Ctr.; Thomas I. Wallow, GLOBALFOUNDRIES Inc.; Gregory M. Wallraff, IBM Almaden Research Ctr.; Todd R. Younkin, Intel Corp.

Conference 7972 continues on page 21. Conference 7973 continues on page 25.

Conference 7974 continues on page 43.

Conference sponsor:

Page 22: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

20 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Monday 28 FebruarySESSION 1

Room: Conv. Ctr. Ballroom BMon. 1:30 to 3:30 pm

Invited Session ISession Chairs: Obert R. Wood II,

GLOBALFOUNDRIES Inc.; Kurt G. Ronse, IMEC (Belgium)

1:30 pm: Printability and inspectability of defects on the EUV mask for sub-32-nm half-pitch HVM application (Invited Paper), Sungmin Huh, In-Yong Kang, Sang-Hyun Kim, Hwan-Seok Seo, Dongwan Kim, Seong-Sue Kim, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Kenneth A. Goldberg, Iacopo Mochi, Lawrence Berkeley National Lab. (United States) . . [7969-01]

2:10 pm: Modeling the transfer of line-edge roughness from mask to wafer (Invited Paper), Gregg M. Gallatin, National Institute of Standards and Technology (United States); Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-02]

2:50 pm: EUV secondary electron blur at the 22-nm half-pitch node (Invited Paper), Roel Gronheid, IMEC (Belgium); Carlos Fonseca, Joshua S. Hooge, Tokyo Electron America, Inc. (United States); Kathleen Nafus, Tokyo Electron Kyushu Ltd. (Japan); Todd R. Younkin, Michael J. Leeson, Intel Corp. (United States); John J. Biafore, KLA-Tencor Texas (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-03]

Coffee Break . . . . . . . . . . . . . . . . .3:30 to 4:00 pm

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7971Metrology, Inspection, and Process Control for Microlithography XXV

Monday 28 February

Monday 28 FebruaryRoom: Conv. Ctr. Ballroom C . . . . . . . . . . . Mon. 10:30 to 11:20 am

Introductory RemarksSession Chair: Christopher J. Raymond, Nanometrics Inc.

Presentation of the Diana Nyyssonen Memorial Award for the Best Paper on Metrology in the 2010 Conference—Metrology, Inspection,

and Process Control for Microlithography.

25th Anniversary of the Metrology ConferencePresentations by:

Michael T. Postek, National Institute of Standards and TechnologyKevin M. Monahan, Quantgain Strategies LLC

SESSION 1Room: Conv. Ctr. Ballroom C . . . . . . . . . . . . Mon. 11:20 to 11:50 am

Keynote SessionSession Chairs: Christopher J. Raymond, Nanometrics Inc.; Alexander

Starikov, I&I Consulting

11:20 am: Semiconductor metrology from new transistor and interconnect materials to future nanostructures (Keynote Presentation), Alain Diebold, College of Nanoscale Science & Engineering, Univ. at Albany (United States) . . . . . . .[7971-01]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11:50 am to 1:20 pm

SESSION 2Room: Conv. Ctr. Ballroom C . . . . . . . . . . . . . . Mon. 1:20 to 3:10 pm

Mask and Lithography MetrologySession Chairs: Alexander Starikov, I&I Consulting; Masafumi Asano,

Toshiba Corp. (Japan)

1:20 pm: A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM (Invited Paper), Alok Vaid, Mark Kelling, GLOBALFOUNDRIES Inc. (United States); Carsten Hartig, John A. Allgair, GLOBALFOUNDRIES Inc. (Germany); Chas N. Archie, Narender Rana, Matthew Sendelbach, IBM Corp. (United States); Cornel Bozdog, Helen Kim, Susan Ng, Nova Measuring Instruments Inc. (United States); Boris Sherman, Boaz Brill, Igor Turovets, Ronen Urensky, Nova Measuring Instruments Ltd. (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-02]

1:50 pm: In-situ CD control with spectroscopic ellipsometry, Yit Sung Ngo, Arthur Tay, Kar Tien Ang, National Univ. of Singapore (Singapore) . . . . . . . . . . . . . . [7971-03]

2:10 pm: Litho process control via optimum metrology sampling while providing cycle time reduction and faster metrology-to-litho turn around time, Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Kaustuve Bhattacharyya, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-04]

2:30 pm: Mask registration impact on intrafi eld on-wafer overlay performance, John C. Robinson, Frank Laske, Venkat R. Nagaswami, Klaus-Dieter Roethe, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-05]

2:50 pm: Application of mask process correction (MPC) to monitor and correct mask process drift, Timothy Lin, Mentor Graphics Corp. (United States); Sung-Ho Park, Ji-Soong Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Thomas Donnelly, Gordon Russell, Mentor Graphics Corp. (United States); Sunwook Jung, Mentor Korea Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-06]

Coffee Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3:10 to 3:40 pm

Conference 7969 continues on page 22.

Conference 7971 continues on page 22.

Sponsored by:Sponsored by:

Page 23: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 21

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Monday 28 FebruarySESSION 1

Room: Conv. Ctr. Ballroom A . . . . . . . . .Mon. 10:30 am to 12:30 pm

Invited SessionSession Chairs: Robert Allen, IBM Almaden Research Ctr.; Mark H.

Somervell, Tokyo Electron America, Inc.

10:30 am: Critical challenges for EUV resist materials (Invited Paper), Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . .[7972-01]

11:10 am: Materials for directed self-assembly: functional properties and future needs (Invited Paper), William D. Hinsberg, IBM Almaden Research Ctr. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7972-02]

11:50 am: Materials innovation for sub-20-nm lithography challenges (Invited Paper), James W. Thackeray, Dow Electronic Materials (United States) . . .[7972-03]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12:30 to 2:00 pm

Room: Conv. Ctr. Ballroom A . . . . . . . . . . . . Mon. 2:00 to 2:20 pm

Award AnnouncementsSession Chairs: Robert Allen, IBM Almaden Research Ctr.;

Mark H. Somervell, Tokyo Electron America, Inc.

Presentation of the 2010 C. Grant Willson Best Paper Award

Sponsored by

Presentation of the 2010 Jeffrey Byers Memorial Best Poster Award

Sponsored by

Announcement of the new Hiroshi Ito Memorial Award for the Best Student Paper for 2011

Sponsored by

SESSION 2Room: Conv. Ctr. Ballroom A . . . . . . . . . . . . . .Mon. 2:20 to 3:30 pm

Novel Processing Special Topic: Negative-Tone Development I

Session Chairs: Sean D. Burns, IBM Corp.; George G. Barclay, Dow Advanced Materials

2:20 pm: Solvent develop processing of chemically amplifi ed resists: chemistry, physics, and polymer science considerations (Invited Paper), Christopher K. Ober, Cornell Univ. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7972-04]

2:50 pm: Fundamental investigation of negative-tone development for the 22-nm node (and beyond), Guillaume Landie, STMicroelectronics (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7972-05]

3:10 pm: Top-coat free immersion lithography using negative-tone development, Young C. Bae, Cecily E. Andes, Rosemary Bell, Thomas Cardolaccia, Seokho Kang, Yi Liu, Jibin Sun, George G. Barclay, The Dow Chemical Co. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7972-06]

Coffee Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3:30 to 4:00 pm

Monday 28 February

Conference 7972 continues on page 23.

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 24: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

22 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Monday 28 February

SESSION 2Room: Conv. Ctr. Ballroom A

Mon. 4:00 to 6:00 pm

EUV I: Joint Session with Conference 7972

Session Chairs: Thomas I. Wallow, GLOBALFOUNDRIES Inc.; Robert L.

Brainard, Univ. at Albany

4:00 pm: Development status of EUV resist materials and processing at Selete, Kentaro Matsunaga, Gousuke Shiraishi, Julius J. Santillan, Koji Kaneyama, Hiroaki Oizumi, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-04]

4:20 pm: Assessment of resist outgassing related EUV optics contamination for CAR and non-CAR material chemistries, Ivan K. A. Pollentier, Imanol Neira, Roel Gronheid, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-07]

4:40 pm: Comprehensive EUV lithography model, Mark D. Smith, Trey Graves, John J. Biafore, Stewart A. Robertson, KLA-Tencor Texas (United States); Cheol-Kyun Kim, James J. Moon, Jae-Heon Kim, Cheolkyu Bok, Donggyu Yim, Hynix Semiconductor Inc. (Korea, Republic of) . [7969-05]

5:00 pm: Performance of EUV molecular resists based on fullerene derivatives, Hiroaki Oizumi, Kentaro Matsunaga, Koji Kaneyama, Julius J. Santillan, Gousuke Shiraishi, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-08]

5:20 pm: Additive-loaded EUV photoresists: performance and the underlying physics, Vikram K. Daga, Ying Lin, Univ. of Massachusetts Amherst (United States); Huagen Peng, Shuhui Kang, Vivek M. Prabhu, Christopher L. Soles, National Institute of Standards and Technology (United States); Karen E. Petrillo, SEMATECH North (United States); Uzodinma Okoroanyanwu, GLOBALFOUNDRIES Inc. (United States); Todd Emrick, James J. Watkins, Univ. of Massachusetts Amherst (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-06]

5:40 pm: Characterizing polymer bounded PAG-type EUV resist, Shinji Tarutani, Hiroshi Tamaoki, Hideaki Tsubaki, Toshiya Takahashi, Hiroo Takizawa, Hidenori Takahashi, FUJIFILM Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-09]

SESSION 3Room: Conv. Ctr. Ballroom C

Mon. 3:40 to 5:30 pm

Scanning Probe MetrologySession Chairs: Martha I. Sanchez, IBM Almaden Research Ctr.; Byoung-Ho Lee, SAMSUNG Electronics Co., Ltd. (Korea,

Republic of)

3:40 pm: Subnanometer line width and line profi le measurement for CD-SEM calibration by using STEM (Invited Paper), Kiyoshi Takamasu, Haruki Okitou, Satoru Takahashi, The Univ. of Tokyo (Japan); Osamu Inoue, Takeshi Mizuno, Hiroki Kawada, Hitachi High-Technologies Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-07]

4:10 pm: Challenges of SEM-based critical dimension metrology of interconnect, Vladimir A. Ukraintsev, Nanometrology International, Inc. (United States); Scott W. Jessen, Brian P. Mikeska, Texas Instruments Inc. (United States); Chris Sallee, Vitali Khvatkov, Smart Imaging Technologies (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-08]

4:30 pm: Robust edge detection with considering three-dimensional sidewall feature by CD-SEM, Atsuko Yamaguchi, Hajime Koyanagi, Junichi Tanaka, Hitachi, Ltd. (Japan); Osamu Inoue, Hiroki Kawada, Hitachi High-Technologies Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-09]

4:50 pm: Tool-to-tool matching issues due to photoresist shrinkage effects, Benjamin D. Bunday, International SEMATECH Manufacturing Initiative (United States); Carsten Hartig, GLOBALFOUNDRIES Inc. (Germany); Eric Solecky, IBM Corp. (United States) . . . . . . . . . . . . . [7971-10]

5:10 pm: Infl uence of the charging effect on the precision of measuring EUV mask features, Yasushi Nishiyama, Hidemitsu Hakii, Isao Yonekura, Keishi Tanaka, Yasutaka Kikuchi, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . [7971-11]

Conference 7969 continues on page 24.

Conference 7971 continues on page 24.

Page 25: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 23

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Monday 28 February

SESSION 3Room: Conv. Ctr. Ballroom A

Mon. 4:00 to 6:00 pm

EUV I: Joint Session with Conference 7969

Session Chair: Thomas I. Wallow, GLOBALFOUNDRIES Inc.

4:00 pm: Development status of EUV resist materials and processing at Selete, Kentaro Matsunaga, Gousuke Shiraishi, Julius J. Santillan, Koji Kaneyama, Hiroaki Oizumi, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-04]

4:20 pm: Assessment of resist outgassing related EUV optics contamination for CAR and non-CAR material chemistries, Ivan K. A. Pollentier, Imanol Neira, Roel Gronheid, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-07]

4:40 pm: Comprehensive EUV lithography model, Mark D. Smith, Trey Graves, John J. Biafore, Stewart A. Robertson, KLA-Tencor Texas (United States); Cheol-Kyun Kim, James J. Moon, Jae-Heon Kim, Cheolkyu Bok, Donggyu Kim, Hynix Semiconductor Inc. (Korea, Republic of) . [7969-05]

5:00 pm: Performance of EUV molecular resists based on fullerene derivatives, Hiroaki Oizumi, Kentaro Matsunaga, Koji Kaneyama, Julius J. Santillan, Gousuke Shiraishi, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-08]

5:20 pm: Additive-loaded EUV photoresists: performance and the underlying physics, Vikram K. Daga, Ying Lin, Univ. of Massachusetts Amherst (United States); Huagen Peng, Shuhui Kang, Vivek M. Prabhu, Christopher L. Soles, National Institute of Standards and Technology (United States); Karen E. Petrillo, SEMATECH North (United States); Uzodinma Okoroanyanwu, GLOBALFOUNDRIES Inc. (United States); Todd Emrick, James J. Watkins, Univ. of Massachusetts Amherst (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-06]

5:40 pm: Characterizing polymer bounded PAG-type EUV resist, Shinji Tarutani, Hiroshi Tamaoki, Hideaki Tsubaki, Toshiya Takahashi, Hiroo Takizawa, Hidenori Takahashi, FUJIFILM Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-09]

Conference 7972 continues on page 25.

Get the training you need to stay ahead of the technology curve.Register for Courses at the registration desk.

Page 26: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

24 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Tuesday 1 March

Tuesday 1 MarchRoom: Conv. Ctr. Ballroom C

Tues. 8:00 to 8:15 am

Opening RemarksSession Chair: Daniel J. C. Herr, Semiconductor Research Corp.

SESSION 1Room: Conv. Ctr. Ballroom C

Tues. 8:15 to 10:00 am

Keynote SessionSession Chairs: Daniel J. C. Herr,

Semiconductor Research Corp.; William M. Tong, KLA-Tencor Corp.

8:15 am: A comparison of maskless technologies (Keynote Presentation), Aki Fujimura, D2S, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7970-01]

8:50 am: Nanoimprint lithography (Keynote Presentation), Tatsuhiko Higashiki, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-02]

9:25 am: Directed self-oriented self-assembly of block copolymers: bottom-up meeting top-down (Keynote Presentation), Thomas P. Russell, Univ. of Massachusetts Amherst (United States) . . [7970-03]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:20 am

Tuesday 1 MarchSESSION 3

Room: Conv. Ctr. Ballroom BTues. 8:00 to 10:00 am

SourcesSession Chair: Jorge J. Rocca, Colorado

State Univ.

8:00 am: 100W 1st generation laser-produced plasma source system for HVM EUV lithography, Hakaru Mizoguchi, EUVA (Japan) and Komatsu Ltd. (Japan); Tamotsu Abe, Yukio Watanabe, EUVA (Japan); Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori, Kouji Kakizaki, Akira Sumitani, Komatsu Ltd. (Japan); Osamu Wakabayashi, Hiroaki Nakarai, Junichi Fujimoto, Akira Endo, EUVA (Japan) . . . . . [7969-07]

8:20 am: Development of spectral purity fi lter for CO 2 laser-produced plasma (LPP) based on magnetized plasma confi nement of absorbing gases, Chimaobi Mbanaso, Alin O. Antohe, Horace Bull, Gregory P. Denbeaux, Univ. at Albany (United States); Francis Goodwin, SEMATECH North (United States); Ady Hershcovitch, Brookhaven National Lab. (United States). . . . . . . . . . . [7969-08]

8:40 am: Cooled EUV collector optics for LPP and DPP sources, Xavier Bozec, Laurent Moine, Slimane Djidel, Renaud Mercier Ythier, Roland Geyl, Vincent Patoz, Sagem SA (France) . . . . . . [7969-09]

9:00 am: Enabling the 22-nm node via grazing incidence collectors integrated into the DPP source for EUVL HVM, Giovanni Bianucci, Attilio Bragheri, Gian Luca Cassol, Riccardo Ghislanzoni, Ruben Mazzoleni, Tony Tryba, Fabio E. Zocchi, Media Lario Technologies S.r.l. (Italy) . . . . [7969-10]

9:20 am: High-brightness LPP source for actinic mask inspection, Fariba Abreau, Adlyte Corp. (Switzerland) . . . . . . . . . . . . . . . . . . . . . . . [7969-11]

9:40 am: Combined effects of pre-pulsing and target geometry on effi cient EUV output from laser-produced plasmas experiments and comparison with modeling, Ahmed Hassanein, Tatyana Sizyuk, Sivanandan S. Harilal, Valeryi A. Sizyuk, Purdue Univ. (United States). . . . . [7969-12]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:30 am

Tuesday 1 MarchSESSION 4

Room: Conv. Ctr. Ballroom A1Tues. 8:00 to 10:00 am

InspectionSession Chairs: Jason P. Cain, Advanced

Micro Devices, Inc.; John C. Robinson, KLA-Tencor Corp.

8:00 am: Optical illumination optimization for patterned defect inspection, Bryan M. Barnes, Richard Quinthanilha, Yeung-Joon Sohn, Hui Zhou, Richard M. Silver, National Institute of Standards and Technology (United States); Chris Deeb, International SEMATECH Manufacturing Initiative (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-12]

8:20 am: Wafer noise models for defect inspection, Timothy F. Crimmins, Intel Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-13]

8:40 am: Quantitative measurement of voltage contrast in SEM images for in-line resistance inspection of incomplete contact, Miyako Matsui, Tasuku Yano, Odaka Takahiro, Hitachi, Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-14]

9:00 am: Characterization of EUV resists for defectivity at 32 nm, Ofi r Montal, Moshe Rozentsvige, Kfi r Dotan, Doron Meshulach, Applied Materials (Israel); Christopher S. Ngai, Applied Materials, Inc. (United States); Eric Hendrickx, IMEC (Belgium); Ofer Adan, Shimon Levi, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . [7971-15]

9:20 am: OPC verifi cation and hotspot management for yield enhancement through layout analysis, Gyun Yoo, Jungchan Kim, Taehyeong Lee, Areum Jung, Hyun-Jo Yang, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of); Kotaro Maruyama, Masahiro Yamamoto, NanoGeometry Research Inc. (Japan); Abhishek Vikram, Anchor Semiconductor, Inc. (China); Sangho Park, Daouxilicon (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7971-16]

9:40 am: A new methodology for TSV array inspection, Yoshihiko Fujimori, Takashi Tsuto, Yuji Kudo, Takeshi Inoue, Nikon Corp. (Japan); Kazuya Okamoto, Nikon Corp. (Japan) and Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-17]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:30 amConference 7969 continues on page 26.

Conference 7970 continues on page 26.

Conference 7971 continues on page 26.

Sponsored by:

Sponsored by:

Page 27: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 25

Tuesday 1 MarchRoom: Conv. Ctr. Ballroom A2

Tues. 8:20 to 8:40 am

Introduction, Opening Remarks, and Special Recognition

Session Chairs: Mircea V. Dusa, ASML US, Inc.; Will Conley, Freescale Semiconductor,

Inc.

SESSION 1Room: Conv. Ctr. Ballroom A2

Tues. 8:40 to 10:10 am

Invited SessionSession Chairs: Mircea V. Dusa, ASML US, Inc.; Will Conley, Freescale Semiconductor,

Inc.

8:40 am: Lithography beyond the IC (Invited Paper), Bruce W. Smith, Rochester Institute of Technology (United States) . . . . . . . . . . . . [7973-01]

9:10 am: Design architecture, metrology, and integration: OPC at the age of discovery (Invited Paper), Cyrus E. Tabery, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-02]

9:40 am: Present and future of NAND fl ash scaling at the lithography crossroad (Invited Paper), Tuan D. Pham, SanDisk, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-03]

Coffee Break . . . . . . . . . . . . . . . . 10:10 to 10:40 am

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Tuesday 1 March

Tuesday 1 MarchSESSION 4

Room: Conv. Ctr. Ballroom A3Tues. 8:00 to 10:00 am

Pitch Reduction and Double Patterning

Session Chairs: Dah-Chung Owe-Yang, Shin-Etsu MicroSi, Inc.; Nobuyuki N. Matsuzawa,

Sony Corp. (Japan)

8:00 am: Novel approaches to implement the self-aligned spacer double-patterning process toward 11-nm node and beyond, Hidetami Yaegashi, Kenichi Oyama, Arisa Hara, Kazuo Yabe, Sakurako Natori, Syohei Yamauchi, Tokyo Electron AT Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . [7972-10]

8:20 am: The resist-core spacer pattering process for the fabrication of 2x-nm node semiconductor devices, Koutaro Sho, Toshiba Corp. (Japan); Tomoya Oori, Kazunori Iida, Keisuke Kikutani, Toshiba Materials Co., Ltd. (Japan); Katsumi Yamamoto, Fumiki Aiso, Kentaro Matsunaga, Eishi Shiobara, Koji Hashimoto, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . [7972-11]

8:40 am: A novel double-patterning approach for 30-nm dense holes, Shu-Hao D. Hsu, Walter Wang, Wei-Hsien Hsieh, Chun-Yen Huang, Wen-Bin Wu, Chiang-Lin Shih, Steven Shih, Nanya Technology Corp. (Taiwan) . . . . . . . . . . . . [7972-12]

9:00 am: Resist freezing process challenges on cross-pattern application, Zishu Zhang, Scott L. Light, Kaveri Jain, Anton J. Devilliers, Micron Technology, Inc. (United States) . . . . . . . . [7972-13]

9:20 am: Double-patterning lithography with photobase generators: a progress report, Xinyu Gu, Younjin Cho, Takanori Kawakami, Yuji Hagiwara, Toshiyuki Ogata, Brandon Rawlings, The Univ. of Texas at Austin (United States); Arun K. Sundaresan, Nicholas J. Turro, Columbia Univ. (United States); Robert Bristol, James M. Blackwell, Intel Corp. (United States); Roel Gronheid, IMEC (Belgium); C. Grant Willson, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . [7972-14]

9:40 am: Optimization of pitch-split double-patterning photoresist for applications at 16-nm node, Steven J. Holmes, IBM Thomas J. Watson Research Ctr. (United States); Cherry Tang, JSR Micro, Inc. (United States); Matthew E. Colburn, IBM Corp. (United States); Mark Slezak, Brian Osborn, Nicolette Fender, JSR Micro, Inc. (United States); Kuang-Jung Chen, P. Rao Varanasi, Sen Liu, Carol A. Boye, IBM Corp. (United States); Karen E. Petrillo, IBM Thomas J. Watson Research Ctr. (United States); Chiew-Seng Koay, IBM Corp. (United States); Sumanth Kini, KLA-Tencor New York (United States) . . . . . . . . . . . . . . . . . [7972-15]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:20 am

Conference 7972 continues on page 27.

Conference 7973 continues on page 27.

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Sponsored by:

Page 28: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

26 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 4Room: Conv. Ctr. Ballroom BTues. 10:30 am to 12:10 pm

Masks I10:30 am: Replicated mask surface roughness effects on EUV lithographic patterning and line-edge roughness, Simi A. George, Patrick P. Naulleau, Iacopo Mochi, Farhad H. Salmassi, Eric M. Gullikson, Kenneth A. Goldberg, Erik H. Anderson, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-13]

10:50 am: Requirements of electron-beam defect repair process for EUV mask application, Brian Cha, Su-Young Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . [7969-14]

11:10 am: AIMS TM EUV: the actinic aerial image review platform for EUV masks, Dirk Hellweg, Heiko Feldmann, Carl Zeiss SMT AG (Germany); Wolfgang Harnisch, Ulrich Strößner, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . . . . . . . . . . . [7969-15]

11:30 am: SEMATECH’s infrastructure for defect metrology and failure analysis to support EUV mask defect reduction program, Vibhu Jindal, C. C. Lin, Jenah Harris-Jones, SEMATECH North (United States); Junichi Kageyama, AGC Electronics America, Inc. (United States) . . . . . . . . . . . [7969-16]

11:50 am: Evaluation of EUV mask defect using blanks inspection, patterned mask inspection, and wafer inspection, Takashi Kamo, Tsuneo Terasawa, Takeshi Yamane, Hiroyuki Shigemura, Noriaki Takagi, Tsuyoshi Amano, Kazuo Tawarayama, Mari Nozoe, Toshihiko Tanaka, Osamu Suga, Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . [7969-17]

Lunch/Exhibition Break . . . . . . . . . 12:10 to 1:40 pm

SESSION 2Room: Conv. Ctr. Ballroom CTues. 10:20 am to 12:10 pm

Nanoimprint Lithography I: CMOSSession Chairs: William M. Tong, KLA-

Tencor Corp.; Douglas J. Resnick, Molecular Imprints, Inc.

10:20 am: The comparison of NGLs from a tool vendor’s view (Invited Paper), Akiyoshi Suzuki, Canon Inc. (Japan) . . . . . . . . . . . . . . . . . . [7970-04]

10:50 am: Step and fl ash imprint lithography: defectivity and other critical aspects, Matt Malloy, Lloyd C. Litt, SEMATECH, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-05]

11:10 am: Defect reduction of high-density full-fi eld patterns using jet and fl ash imprint lithography, Lovejeet Singh, Gaddi Haase, David Curran, Dwayne L. LaBrake, Douglas J. Resnick, S. V. Sreenivasan, Molecular Imprints, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-06]

11:30 am: Nanoimprint lithography of 20-nm half-pitch and metal via pattern for semiconductor applications using polymer replica stamp, Byung-Kyu Lee, Duhyun Lee, Woong Ko, Samsung Advanced Institute of Technology (Korea, Republic of); Chang-Min Park, Jeong-Ho Yeo, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); ChangJung Kim, U-In Chung, Samsung Advanced Institute of Technology (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-07]

11:50 am: Progress in template and mask replication using jet and fl ash imprint lithography, Cynthia B. Brooks, Kosta S. Selinidis, Gary F. Doyle, Laura Brown, Dwayne L. LaBrake, Douglas J. Resnick, S. V. Sreenivasan, Molecular Imprints, Inc. (United States) . . . . . . . . . . . [7970-08]

Lunch/Exhibition Break . . . . . . . . . 12:10 to 1:20 pm

SESSION 5Room: Conv. Ctr. Ballroom A1Tues. 10:30 am to 12:10 pm

LER/LWRSession Chairs: Martha I. Sanchez, IBM

Almaden Research Ctr.; Benjamin D. Bunday, International SEMATECH Manufacturing

Initiative

10:30 am: Statistical-noise effect on power spectrum of line-edge and line-width roughness with long-range correlation, Atsushi Hiraiwa, Akio Nishida, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7971-18]

10:50 am: Reduction of SEM noise and extended application to prediction of CD uniformity and its experimental validation, Hoyeon Kim, Chan Hwang, Seok-Hwan Oh, Jeong-Ho Yeo, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7971-19]

11:10 am: Sensitivity of LWR and CD linearity to process conditions in active area, Guy Ayal, Elena Malkes, Efraim Aharoni, Tower Semiconductor Ltd. (Israel); Shimon Levi, Amit Siany, Ofer Adan, Applied Materials (Israel); Eitan N. Shauly, Tower Semiconductor Ltd. (Israel); Yosi Shacham-Diamand, Tel Aviv Univ. (Israel) [7971-20]

11:30 am: High-precision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignment, Shiano Ono, Semiconductor Leading Edge Technologies, Inc. (Japan); Miyuki Yamane, Hitachi, Ltd. (Japan); Mitsuo Ogasawara, Hitachi High-Technologies Corp. (Japan); Akira Katakami, Jiro Yugami, Semiconductor Leading Edge Technologies, Inc. (Japan); Masanari Koguchi, Hitachi, Ltd. (Japan); Hiroyuki Shinada, Semiconductor Leading Edge Technologies, Inc. (Japan); Hiroshi Kakibayashi, Hitachi High-Technologies Corp. (Japan); Kazuto Ikeda, Yuzuru Ohji, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7971-21]

11:50 am: Mueller-matrix ellipsometry of artifi cial nonperiodic line-edge roughness in presence of fi nite numerical aperture, Martin Foldyna, Thomas A. Germer, National Institute of Standards and Technology (United States); Brent C. Bergner, Spectrum Scientifi c, Inc. (United States). . [7971-22]

Lunch/Exhibition Break . . . . . . . . . 12:10 to 1:40 pm

Tuesday 1 March

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 28.

Conference 7970 continues on page 28.

Conference 7971 continues on page 28.

Page 29: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 27

SESSION 5Room: Conv. Ctr. Ballroom A3Tues. 10:20 am to 12:00 pm

Resist FundamentalsSession Chairs: Todd R. Younkin, Intel Corp.;

Roel Gronheid, IMEC (Belgium)

10:20 am: In-situ dissolution analysis of EUV resists, Toshiro Itani, Julius J. Santillan, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-16]

10:40 am: Two complementary methods to characterize long-range proximity effects due to develop loading, Linda K. Sundberg, Gregory M. Wallraff, Alexander M. Friz, Blake Davis, IBM Almaden Research Ctr. (United States); Robert D. Lovchik, Emmanuel Delamarche, IBM Zürich Research Lab. (Switzerland); Amy E. Zweber, IBM Corp. (United States); Tasuku Senna, Toru Komizo, Toppan Electronics, Inc. (United States); William D. Hinsberg, IBM Almaden Research Ctr. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-17]

11:00 am: Measurement of modulation transfer function using a linear resist, Toshiyuki Ogata, The Univ. of Texas at Austin (United States); Dwayne LeBrake, Molecular Imprints, Inc. (United States); Xinyu Gu, Brandon Rawlings, Younjin Cho, Yuji Hagiwara, Takanori Kawakami, The Univ. of Texas at Austin (United States); James M. Blackwell, Intel Corp. (United States); Roel Gronheid, IMEC (Belgium); Makiko Irie, Takayoshi Mori, Kazufumi Sato, Tokyo Ohka Kogyo Co., Ltd. (Japan); C. Grant Willson, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . [7972-18]

11:20 am: Revisit pattern collapse for 15-nm node and beyond, Kenji Yoshimoto, IBM Corp. (United States); Thomas I. Wallow, GLOBALFOUNDRIES Inc. (United States); Karen E. Petrillo, Matthew E. Colburn, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-19]

11:40 am: Characteristics of main chain decomposable STAR polymer for EUV resist, Jun Iwashita, Taku Hirayama, Isamu Takagi, Kensuke Matsuzawa, Kenta Suzuki, Sachiko Yoshizawa, Kenri Konno, Masahito Yahagi, Kazufumi Sato, Tokyo Ohka Kogyo Co., Ltd. (Japan); Seiichi Tagawa, Kazuyuki Enomoto, Osaka Univ. (Japan) and JST-CREST (Japan); Akihiro Oshima, Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7972-20]

Lunch/Exhibition Break . . . . . . . . . 12:00 to 1:30 pm

SESSION 2Room: Conv. Ctr. Ballroom A2Tues. 10:40 am to 12:10 pm

FreeForm and SMOSession Chairs: Pary Baluswamy, Micron Technology, Inc.; Soichi Inoue, Toshiba

Materials Co., Ltd. (Japan)

10:40 am: Freeform and SMO (Invited Paper), Robert J. Socha, ASML US, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-04]

11:10 am: Qualifi cation, monitoring, and integration into a production environment of the World’s fi rst fully programmable FlexRay illuminator, Gregory R. McIntyre, Daniel A. Corliss, Guillaume Landie, IBM Corp. (United States); Takao Tamura, NEC Electronics Corp. (Japan); Christopher F. Robinson, Richard Johnson, Scott D. Halle, IBM Corp. (United States); Ryoung-Han Kim, GLOBALFOUNDRIES Inc. (United States); Erin Mclellan Martin, IBM Corp. (United States); Hirokazu Kato, Toshiba Corp. (United States); Anthony F. Scaduto, Carl Maier, Matthew E. Colburn, IBM Corp. (United States); Ton van Niftrik, Remco Groenendijk, Rene Carpaij, ASML Netherlands B.V. (Netherlands) . . . . . . . . . [7973-05]

11:30 am: Agile and accurate control of lithographic imaging using a freeform illuminator, Kazuya Fukuhara, Yusuke Hirose, Katsuyoshi Kodera, Nobuhiro Komine, Toshiba Materials Co., Ltd. (Japan); Satomi Higashibata, Koike Takashi, Kazuyuki Masukawa, Toshiba Corp. (Japan); Kazutaka Ishigo, Tadahito Fujisawa, Satoshi Tanaka, Takuya Kono, Tetsuro Nakasugi, Toshiba Materials Co., Ltd. (Japan) . . . . . [7973-06]

11:50 am: Design-specifi c joint optimization of masks and sources on a very large scale, Kafai Lai, IBM Corp. (United States); Maria Gabrani, IBM Zürich Research Lab. (Switzerland); David L. DeMaris, IBM Austin Research Lab. (United States); Nathalie Casati, IBM Zürich Research Lab. (Switzerland); J. Andres Torres, Mentor Graphics Corp. (United States); Sankha Sarkar, IBM Zürich Research Lab. (Switzerland); Phil Strenski, Saeed Bagheri, IBM Thomas J. Watson Research Ctr. (United States); Daniele P. Scarpazza, D.E. Shaw Research (United States); Alan E. Rosenbluth, David O. Melville, Andreas Waechter, Jonathan Lee, Vernon Austel, Marc Szeto-Millstone, IBM Thomas J. Watson Research Ctr. (United States); Kehan Tian, IBM Corp. (United States); Francisco Barahona, IBM Thomas J. Watson Research Ctr. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-07]

Lunch/Exhibition Break . . . . . . . . . 12:10 to 2:00 am

Tuesday 1 March

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 29.

Conference 7973 continues on page 29.

Page 30: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

28 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Tuesday 1 March

SESSION 5Room: Conv. Ctr. Ballroom B

Tues. 1:40 to 3:20 pm

Optics and ContaminationSession Chair: Michael J. Lercel, IBM Corp.

1:40 pm: A new and unique NIST facility for EUV photoresist witness-plate testing, Steven E. Grantham, Charles Tarrio, Shannon B. Hill, Thomas B. Lucatorto, National Institute of Standards and Technology (United States); Joep van Dijk, Jens A. Steinhoff, Marianna Silova, Rik Hoefnagels, Cemil Kaya, ASML Netherlands B.V. (Netherlands); Noreen Harned, ASML Wilton (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-18]

2:00 pm: Infl uence of environments on the footprint of particle contamination on EUV mask, Tae-Gon Kim, IMEC (Belgium) and Katholieke Univ. Leuven (Belgium); Els Kesters, Herbert Struyf, Paul W. Mertens, IMEC (Belgium); Stefan De Gendt, Marc Heyns, IMEC (Belgium) and Katholieke Univ. Leuven (Belgium) . . . . . . . . . . . . . . . . . . . . [7969-19]

2:20 pm: Optics contamination studies in support of high-throughput EUVL tools, Shannon B. Hill, Nadir S. Faradzhev, Charles Tarrio, Steven E. Grantham, Robert E. Vest, Thomas B. Lucatorto, National Institute of Standards and Technology (United States); Sergiy A. Yulin, Mark Schürmann, Viatcheslav Nesterenko, Torsten Feigl, Fraunhofer-Institut für Angewandte Optik und Feinmechanik (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7969-20]

2:40 pm: A simple modeling of carbon contamination on EUV exposure tools based on contamination experiments with synchrotron source, Masayuki Shiraishi, Takashi Yamaguchi, Atsushi Yamazaki, Noriaki Kandaka, Tetsuya Oshino, Katsuhiko Murakami, Nikon Corp.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-21]

3:00 pm: Cleaning of carbon contamination on EUV masks and optics, Toshihisa Anazawa, Noriaki Takagi, Iwao Nishiyama, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Toshinori Miura, Mitsuru Kekura, Meidensha Corp. (Japan); Akira Izumi, Kyushu Institute of Technology (Japan) . . . . . . . . . [7969-22]

Coffee Break . . . . . . . . . . . . . . . . . . 3:20 to 3:50 pm

SESSION 3Room: Conv. Ctr. Ballroom C

Tues. 1:20 to 3:10 pm

Maskless Lithography ISession Chairs: Hans Loeschner, IMS

Nanofabrication AG (Austria); Timothy R. Groves, Univ. at Albany

1:20 pm: E-beam lithography development, outlook, and critical challenges (Invited Paper), Hans C. Pfeiffer, HCP Consulting Services (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-09]

1:50 pm: MCC8: throughput enhancement of EB direct writer, Hideaki Komami, Masaki Kurokawa, Akio Yamada, Advantest Corp. (Japan) . . [7970-10]

2:10 pm: eMET: 50 keV electron multibeam mask exposure tool, Christof Klein, Jan Klikovits, Hans Loeschner, Elmar Platzgummer, IMS Nanofabrication AG (Austria) . . . . . . . . . . . [7970-11]

2:30 pm: Scanning exposures with a MAPPER multibeam system, Bert J. Kampherbeek, Christiaan van den Berg, Vincent Kuiper, Niels Vergeer, Stijn Bosschker, Thomas Ooms, Alexandra Tudorie, Remco J. Jager, MAPPER Lithography (Netherlands); Sjoerd Postma, DEMCON (Netherlands); Guido de Boer, MAPPER Lithography (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7970-12]

2:50 pm: Multishaped beam: development status and update on lithography results, Ines A. Stolberg, Matthias Slodowski, Hans-Joachim Doering, Wolfgang H. Dorl, Vistec Electron Beam GmbH (Germany) . . . . . . . . . . . . . . . . . . . [7970-13]

Coffee Break . . . . . . . . . . . . . . . . . . 3:10 to 3:40 pm

SESSION 6Room: Conv. Ctr. Ballroom A1

Tues. 1:40 to 3:00 pm

Design-based MetrologySession Chairs: Masafumi Asano,

Toshiba Corp. (Japan); John A. Allgair, GLOBALFOUNDRIES Inc. (Germany)

1:40 pm: A CD-gap-free contour extraction technique for OPC model calibration, Takuma Shibahara, Tsuyoshi Minakawa, Michio Oikawa, Hitachi, Ltd. (Japan); Hiroyuki Shindo, Hitoshi Sugahara, Yutaka Hojyo, Hitachi High-Technologies Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7971-23]

2:00 pm: Fast and accurate calibration for OPC process-window model using inverse weight algorithm, Ashesh Parikh, Texas Instruments Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-24]

2:20 pm: Contact-edge roughness: characterization, modeling, and noise effects, VijayaKumar Murugesan Kuppuswamy, National Ctr. for Scientifi c Research Demokritos (Greece) and National Technical Univ. of Athens (Greece); Vassilios Constantoudis, Evangelos Gogolides, National Ctr. for Scientifi c Research Demokritos (Greece); Roel Gronheid, Alessandro Vaglio-Pret, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . [7971-25]

2:40 pm: TBD, , . . . . . . . . . . . . . . . . . . . . [7971-26]

Coffee Break . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 30.

Conference 7970 continues on page 30.

Conference 7971 continues on page 30.

Page 31: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 29

Tuesday 1 March

SESSION 6Room: Conv. Ctr. Ballroom A3

Tues. 1:30 to 3:10 pm

Novel Processing Special Topic: Negative-Tone Development II

Session Chairs: Ralph R. Dammel, AZ Electronic Materials USA Corp.;

Ramakrishnan Ayothi, JSR Micro, Inc.

1:30 pm: Negative-tone imaging (NTi) at the 22-nm node: process and material development, Jason R. Cantone, Shannon Dunn, Shinichiro Kawakami, TEL Technology Ctr., America, LLC (United States); Karen E. Petrillo, Yongan Xu, Matthew E. Colburn, IBM Corp. (United States); Guillaume Landie, STMicroelectronics (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-21]

1:50 pm: High-volume manufacturing capability of negative-tone development process, Shinji Tarutani, Sou Kamimura, Kana Fujii, Keita Katou, Yuuichirou Enomoto, FUJIFILM Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-22]

2:10 pm: Patterning conventional photoresists in environmentally friendly silicone fl uids, Christine Y. Ouyang, Jin-Kyun Lee, Christopher K. Ober, Cornell Univ. (United States) . . . . . . . . . . . [7972-23]

2:30 pm: Patterning process study for 30-nm hole, Kilyoung Lee, Hyunkyung Shim, Sungjin Kim, James J. Moon, Cheolkyu Bok, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7972-25]

2:50 pm: Surface property matching for negative-tone developing of a conventional positive-tone photoresist, Douglas J. Guerrero, Vandana Krishnamurthy, Daniel Sullivan, Brewer Science, Inc. (United States) . . . . . . . . . . . [7972-26]

Coffee Break . . . . . . . . . . . . . . . . . . 3:10 to 3:40 pm

SESSION 3Room: Conv. Ctr. Ballroom A2

Tues. 2:00 to 3:40 pm

Source and Mask Optimization ISession Chairs: Andreas Erdmann,

Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany); Sukjoo Lee, SAMSUNG Electronics Co., Ltd. (Korea,

Republic of)

2:00 pm: Illuminator predictor for effective SMO solutions, Daniel G. Smith, Nikon Research Corp. of America (United States); Naonori Kita, Nobumichi Kanayamaya, Ryota Matsui, Tomoyuki Matsuyama, Nikon Corp. (Japan); Donis G. Flagello, Nikon Research Corp. of America (United States) [7973-08]

2:20 pm: Full-chip source and mask optimization, Min-Chun Tsai, Stephen Hsu, Luoqi Chen, Yen-Wen Lu, Jiangwei Li, Frank Chen, Hong Chen, Jun Tao, Been-Der Chen, Hanying Feng, William Wong, Wei Yuan, Xiaoyang Li, Liang Li, Wei Si, Russell Dover, Hua-yu Liu, James P. Koonmen, Brion Technologies, Inc. (United States) . . [7973-09]

2:40 pm: Joint optimization of layout and litho for SRAM and logic toward the 20-nm node using 193i, Peter De Bisschop, Bart Laenens, IMEC (Belgium); Mircea V. Dusa, ASM Belgium N.V. (Belgium); Michael C. Smayling, Tela Innovations, Inc. (United States) . . . . . . . . . . . . . . . . . . [7973-10]

3:00 pm: Applicability of global source mask optimization to 22/20-nm node and beyond, Kehan Tian, IBM Corp. (United States); Moutaz Fakhry, Aasutosh D. Dave, Alexander Tritchkov, Mentor Graphics Corp. (United States); Jaione Tirapu-Azpiroz, IBM Corp. (United States); Alan E. Rosenbluth, David O. Melville, Scott Mansfi eld, IBM Thomas J. Watson Research Ctr. (United States); Alexander Wei, Young Kim, IBM Corp. (United States); Bruce Durgan, Mentor Graphics Corp. (United States); Kafai Lai, IBM Corp. (United States); Kostas Adam, Gabriel Berger, Yuri Granik, Michael Lam, Gandharv Bhatara, Mentor Graphics Corp. (United States); Jason Meiring, IBM Corp. (United States); Henning Haffner, Infi neon Technologies North America Corp. (United States); Byung-Sung Kim, SAMSUNG Electronics Co., Ltd. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-11]

3:20 pm: Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization, Koichiro Tsujita, Kazuhiro Takahashi, Canon Inc. (Japan); Valery Axelrad, Sequoia Design Systems, Inc. (United States); Michael C. Smayling, Tela Innovations, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-12]

Coffee Break . . . . . . . . . . . . . . . . . . 3:40 to 4:10 pm

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 31.

Conference 7973 continues on page 31.

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 32: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

30 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Tuesday 1 March

SESSION 6Room: Conv. Ctr. Ballroom B

Tues. 3:50 to 5:50 pm

Tools and OPC3:50 pm: Development of EUV lithography tools in Nikon, Katsuhiko Murakami, Tetsuya Oshino, Hiroyuki Kondo, Hiroshi Chiba, Kazushi Nomura, Yoshiaki Kohama, Hidemi Kawai, Kenji Morita, Kazunari Hada, Yukiharu Ohkubo, Nikon Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-23]

4:10 pm: Resolution capability of SFET with slit and dipole illumination, Yuusuke Tanaka, Kentaro Matsunaga, Shunko Magoshi, Seiichiro Shirai, Kazuo Tawarayama, Hiroyuki Tanaka, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-24]

4:30 pm: The SEMATECH Berkeley MET: extending EUV learning down to 16-nm half pitch, Patrick P. Naulleau, Christopher N. Anderson, Lorie-Mae Baclea-an, Paul E. Denham, Simi A. George, Kenneth A. Goldberg, Gideon Jones, Brittany M. McClinton, Lawrence Berkeley National Lab. (United States); M. Warren Montgomery, SEMATECH North (United States); Nathan S. Smith, Lawrence Berkeley National Lab. (United States); Thomas I. Wallow, GLOBALFOUNDRIES Inc. (United States) [7969-25]

4:50 pm: Investigation of EUV tapeout fl ow issues, requirements, and options for volume manufacturing, Jonathan L. Cobb, Synopsys, Inc. (United States); Sung-Hoon Jang, Jung-Hoon Ser, Insung Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Mun-hoe Do, Synopsys Korea Inc. (Korea, Republic of); Kevin Lucas, Synopsys, Inc. (United States); Sooryong Lee, Synopsys Korea Inc. (Korea, Republic of); Young-Chang Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-26]

5:10 pm: EUV fl are and proximity modeling and model-based correction, Christian D. Zuniga, Mentor Graphics Corp. (United States); Mohamed Habib, Mentor Graphics Egypt (Egypt); James C. Word, Mentor Graphics Corp. (United States); Gian F. Lorusso, Eric Hendrickx, IMEC (Belgium); Burak Baylav, Raghu Chalasani, Michael Lam, Mentor Graphics Corp. (United States) . . . . . . . . . [7969-27]

5:30 pm: EUV OPC for the 15-nm node, Martin Burkhardt, IBM Thomas J. Watson Research Ctr. (United States); Yunfei Deng, GLOBALFOUNDRIES Inc. (United States); Hirokazu Kato, Toshiba America Electronic Components, Inc. (United States); Gregory R. McIntyre, Sudharshanan Raghunathan, IBM Corp. (United States); Thomas I. Wallow, Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . [7969-28]

SESSION 4Room: Conv. Ctr. Ballroom A3

Tues. 3:40 to 5:50 pm

Directed Self-Assembly I: Selected Semiconductor Applications: Joint

Session with Conference 7972Session Chairs: Elizabeth A. Dobisz, Hitachi Global Storage Technologies, Inc.; Daniel P.

Sanders, IBM Almaden Research Ctr.

3:40 pm: Self-assembly patterning for sub-15-nm half-pitch: a transition from lab to fab (Invited Paper), Christopher Bencher, Jeffrey T. Smith, Yongmei Chen, Liyan Miao, Applied Materials, Inc. (United States); Joy Y. Cheng, Daniel P. Sanders, Hoa D. Truong, William D. Hinsberg, IBM Almaden Research Ctr. (United States) . . . . . . . . . . [7970-14]

4:10 pm: Directed self-assembly for lithography applications, Joy Y. Cheng, Daniel P. Sanders, Jed W. Pitera, Charles Rettner, Hoa D. Truong, Noel Arellano, Alexander M. Friz, E. Anuja de Silva, William D. Hinsberg, IBM Almaden Research Ctr. (United States); Steven J. Holmes, IBM Thomas J. Watson Research Ctr. (United States); Matthew E. Colburn, IBM Corp. (United States); Su-Mi Hur, Glenn H. Fredrickson, Univ. of California, Santa Barbara (United States) . . . . . . . . . . . . . . . [7972-27]

4:30 pm: Integration of block copolymer directed self assembly with 193i lithography toward fabrication of nanowire MOSFETs, Chi-Chun Liu, Paul F. Nealey, Tzu-Hsuan Chang, Zhenqiang Ma, Univ. of Wisconsin-Madison (United States); Alex K. Raub, Steve R. J. Brueck, The Univ. of New Mexico (United States); Eungnak Han, Padma Gopalan, Univ. of Wisconsin-Madison (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-15]

4:50 pm: Polymer blends for patterning applications, Hayato Namai, Yoshi Hishiro, Kentaro Goto, JSR Micro, Inc. (United States); Kyouyuu Yasuda, Yoshikazu Yamaguchi, JSR Engineering Co., Ltd. (Japan); Joy Y. Cheng, Daniel P. Sanders, Ratnam Sooriyakumaran, Alexander M. Friz, IBM Almaden Research Ctr. (United States). . . [7972-28]

5:10 pm: Using controlled polymerization RAFT technology, Michael T. Sheehan, DuPont Electronic Polymers (United States) . . . . . [7972-29]

5:30 pm: Block copolymer lithography integrated with conventional 193-nm ArF or I-line photolithography, Sang Ouk Kim, KAIST (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7970-16]

SESSION 7Room: Conv. Ctr. Ballroom A1

Tues. 3:30 to 4:30 pm

New DirectionsSession Chairs: Vladimir A. Ukraintsev,

Nanometrology International, Inc.; Daniel J. C. Herr, Semiconductor Research Corp.

3:30 pm: Hybrid CD metrology concept compatible with high-volume manufacturing, Johann Foucher, Pascal Faurie, Ludivine Dourthe, Lab. d’Electronique de Technologie de l’Information (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-27]

3:50 pm: TSOM method for semiconductor metrology, Ravikiran Attota, Ronald G. Dixson, National Institute of Standards and Technology (United States); Benjamin D. Bunday, International SEMATECH Manufacturing Initiative (United States); András E. Vladár, James E. Potzick, National Institute of Standards and Technology (United States); Erik L. Novak, Veeco Instruments Inc. (United States); John A. Kramar, National Institute of Standards and Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-28]

4:10 pm: Diffraction imaging of defects with the helium-ion microscope, David C. Joy, The Univ. of Tennessee (United States); Brendan J. Griffi n, The Univ. of Western Australia (Australia) . . . . [7971-29]

Room: Conv. Ctr. Ballroom A1Tues. 4:30 to 5:30 pm

Panel Discussion: Celebrating 25 Years of Innovation: Challenge

Your KnowledgePanel Moderator: Ofer Adan,

Applied Materials (Israel)

An interactive event celebrating 25 years of industry innovation in Metrology, Inspection, and Process Control. Using state-of-the art gaming technology, pit your knowledge of the industry’s challenges, solutions and technical advances over the years with that of our technology experts to win prizes. Join us immediately following for a wine and cheese reception and further discussion with our experts.

Sponsored by

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 36.

Conference 7970 continues on page 36.

Conference 7971 continues on page 36.

Page 33: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 31

Tuesday 1 March

SESSION 7Room: Conv. Ctr. Ballroom A3

Tues. 3:40 to 5:50 pm

Directed Self-Assembly I: Selected Semiconductor Applications: Joint

Session with Conference 7970Session Chair: Daniel P. Sanders, IBM

Almaden Research Ctr.

3:40 pm: Self-assembly patterning for sub-15-nm half-pitch: a transition from lab to fab (Invited Paper), Christopher Bencher, Jeffrey T. Smith, Yongmei Chen, Liyan Miao, Applied Materials, Inc. (United States); Joy Y. Cheng, Daniel P. Sanders, Hoa D. Truong, William D. Hinsberg, IBM Almaden Research Ctr. (United States) . . . . . . . . . . [7970-14]

4:10 pm: Directed self-assembly for lithography applications, Joy Y. Cheng, Daniel P. Sanders, Jed W. Pitera, Charles Rettner, Hoa D. Truong, Noel Arellano, Alexander M. Friz, E. Anuja de Silva, William D. Hinsberg, IBM Almaden Research Ctr. (United States); Steven J. Holmes, IBM Thomas J. Watson Research Ctr. (United States); Matthew E. Colburn, IBM Corp. (United States); Su-Mi Hur, Glenn H. Fredrickson, Univ. of California, Santa Barbara (United States) . . . . . . . . . . . . . . . [7972-27]

4:30 pm: Integration of block copolymer directed self assembly with 193i lithography toward fabrication of nanowire MOSFETs, Chi-Chun Liu, Paul F. Nealey, Tzu-Hsuan Chang, Zhenqiang Ma, Univ. of Wisconsin-Madison (United States); Alex K. Raub, Steve R. J. Brueck, The Univ. of New Mexico (United States); Eungnak Han, Padma Gopalan, Univ. of Wisconsin-Madison (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-15]

4:50 pm: Polymer blends for patterning applications, Hayato Namai, Yoshi Hishiro, Kentaro Goto, JSR Micro, Inc. (United States); Kyouyuu Yasuda, Yoshikazu Yamaguchi, JSR Engineering Co., Ltd. (Japan); Joy Y. Cheng, Daniel P. Sanders, Ratnam Sooriyakumaran, Alexander M. Friz, IBM Almaden Research Ctr. (United States). . . [7972-28]

5:10 pm: Using controlled polymerization RAFT technology, Michael T. Sheehan, DuPont Electronic Polymers (United States) . . . . . [7972-29]

5:30 pm: Block copolymer lithography integrated with conventional 193-nm ArF or I-line photolithography, Sang Ouk Kim, KAIST (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7970-16]

SESSION 4Room: Conv. Ctr. Ballroom A2

Tues. 4:10 to 6:10 pm

Double Patterning ISession Chairs: Carlos Fonseca, Tokyo Electron

America, Inc.; Peter D. Buck, Toppan Photomasks, Inc.

4:10 pm: Simultaneous OPC and decomposition for double-exposure lithography, Shayak Banerjee, Kanak B. Agarwal, IBM Austin Research Lab. (United States); Michael Orshansky, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-13]

4:30 pm: Toward manufacturing of advanced logic devices by double patterning, Chiew-Seng Koay, Steven J. Holmes, Scott D. Halle, Karen E. Petrillo, Matthew E. Colburn, IBM Corp. (United States); Youri van Dommelen, Michael Many, Aiqin Jiang, Robert M. Routh, ASML US, Inc. (United States); Shannon Dunn, David R. Hetzer, Shinichiro Kawakami, Jason R. Cantone, Andrew W. Metz, TEL Technology Ctr., America, LLC (United States); Lior Huli, Martin Rodgers, Brian N. Martinick, Univ. at Albany (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-14]

4:50 pm: Innovative self-aligned triple patterning for 1x half-pitch using single “spacer deposition-spacer etch” step, Bencherki Mebarki, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-15]

5:10 pm: DPT-restricted design rules for advanced logic applications, Yunfei Deng, Yuangsheng Ma, Hidekazu Yoshida, Jongwook Kye, Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States); Jason Sweis, Tamer H. Coskun, Vishnu Kamat, Cadence Design Systems, Inc (United States) . . . . . . . . . . . [7973-16]

5:30 pm: Scanner alignment performance for double patterning, Ludovic Lattard, Lab. d’Electronique de Technologie de l’Information (France); Martin McCallum, Robert D. Morton, Nikon Precision Europe GmbH (United Kingdom); Vincent Salvetat, Nikon Precision Europe GmbH (France); Céline Lapeyre, Lab. d’Electronique de Technologie de l’Information (France); Katsushi Makino, Akira Tokui, Nikon Corp. (Japan) . . . . . . . . [7973-17]

5:50 pm: Effective decomposition algorithm for self-aligned double patterning, Hongbo Zhang, Yuelin Du, Martin D. F. Wong, Univ. of Illinois at Urbana-Champaign (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-18]

Room: Conv. Ctr. Ballroom JTues. 7:30 to 9:30 pm

Panel Discussion: Progress Toward the Sub-22-nm Node

Panel Moderators: Will Conley, Freescale Semiconductor, Inc.; Mircea V. Dusa, ASML US, Inc.

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 37.

Conference 7973 continues on page 37.

Page 34: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

32 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Tuesday 1 March — Poster Sessions — Room: Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

Conference 7971Metrology, Inspection, and Process Control for Microlithography XXV

Posters-TuesdaySession Chairs: Jason P. Cain, Advanced

Micro Devices, Inc.; John C. Robinson, KLA-Tencor Corp.

Real-time detection system of defects on a photomask by using the light scattering and interference method, Jae Heung Jo, Sangon Lee, Hannam Univ. (Korea, Republic of); Hae-Sung Wee, Jong Soo Kim, Nano Electro Optics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . [7971-63]

Improved secondary electron extraction effi ciency model of model-based library matching method for accurate measurement of narrow-space patterns, Chie Shishido, Maki Tanaka, Akira Hamamatsu, Hitachi, Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-64]

Scatterometry simulator using GPU and evolutionary algorithm, Hirokimi Shirasaki, Tamagawa Univ. (Japan) . . . . . . . . . . . . . . [7971-65]

Simulation of non-uniform thin fi lms residual stress on overlay errors, Jaydeep K. Sinha, KLA-Tencor Corp. (United States) . . . . . . . . . . . [7971-66]

Study of scanner stage vibration by using scatterometry, Da Bai Jiang, Wenzhan Zhou, Michael Hsieh, Qunying Lin, GLOBALFOUNDRIES Singapore (Singapore) . . . . . . . . . . . . . . . . [7971-67]

Analysis of overlay error by different aperture mixing at 2x-nm node, Kiho Yang, Shin Young Kim, Chan-Ha Park, Jeong-Su Park, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7971-68]

New design-based metrology for fast detection of crucial lithographic defects, Duck-Hyung Hur, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-69]

Advancements of diffraction-based overlay metrology for double patterning, Jie Li, Nanometrics Inc. (United States); Oleg Kritsun, GLOBALFOUNDRIES Inc. (United States); Yongdong Liu, Prasad Dasari, Ulrich Weher, Nanometrics Inc. (United States); Catherine Volkman, GLOBALFOUNDRIES Inc. (United States); Jiangtao Hu, Nanometrics Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-70]

CD-SEM image-distortion measured by view-shift method, Osamu Inoue, Takahiro Kawasaki, Hiroki Kawada, Hitachi High-Technologies Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-71]

Scatterometry for EUV lithography at the 22-nm node, Benjamin D. Bunday, International SEMATECH Manufacturing Initiative (United States); Alex Elia, Tokyo Electron America, Inc. (United States); Liping Ren, International SEMATECH Manufacturing Initiative (United States) . . [7971-72]

Study of the three-dimensional shape measurement for mask patterns using multiple detector CD-SEM, Hidemitsu Hakii, Isao Yonekura, Masashi Kawashita, Yasushi Nishiyama, Keishi Tanaka, Yasutaka Kikuchi, Toppan Printing Co., Ltd. (Japan); Tsutomu Murakawa, Soichi Shida, Masayuki Kuribara, Toshimichi Iwai, Jun Matsumoto, Takayuki Nakamura, Advantest Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-73]

Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples, Yu-Tian Shen, Chun-Hung Liu, Philip C. Ng, Kuen-Yu Tsai, Fu-Min Wang, Chieh-Hsiung Kuan, Yen-Min Lee, Hsin-Hung Cheng, Jia-Han Li, National Taiwan Univ. (Taiwan); Alek C. Chen, ASML Taiwan Ltd. (Taiwan) [7971-75]

EB defect inspection of EUV-resist patterned wafer for hp 32 nm and beyond, Toshihiko Tanaka, Mari Nozoe, Takashi Kamo, Shinji Kubo, Tomohiro Tamori, Noriaki Takagi, Takeshi Yamane, Tsuneo Terasawa, Hiroyuki Shigemura, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7971-76]

The study of high-sensitivity metrology method by using CD-SEM, Kazuhiro Ueda, Shunsuke Koshihara, Akihiro Miura, Takeshi Mizuno, Hitachi High-Technologies Corp. (Japan) . . . . . . . [7971-77]

High-order dose and focus correction for improved CD uniformity, John C. Robinson, Pavel Izikson, Yuji Koyanagi, KLA-Tencor Corp. (United States); Tsuyoshi Toki, Junichi Kosugi, Tomoharu Fujiwara, Akira Tokui, Nikon Corp. (Japan) [7971-78]

EUV defect-characterization study post litho and etch for 2x processes, Ofi r Montal, Moshe Rozentsvige, Amiad Conley, Kfi r Dotan, Applied Materials (Israel); Christopher S. Ngai, Christopher Bencher, Man-Ping Cai, Applied Materials, Inc. (United States); Thomas I. Wallow, Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-79]

High-order stitching overlay analysis for advanced process control, Y. C. Pai, Ih Chih Chiang, Charlie Chen, Louis Jang, Howard Chen, Chun-Chi Yu, United Microelectronics Corp. (Taiwan); Chin-Chou K. Huang, Hsing-Chien Wu, John C. Robinson, David Tien, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-80]

Overlay process misregistration control through variance analysis and layout optimization, Elio De Chiara, Pierluigi Rigolli, Laura Rozzoni, Nicola Quatela, Umberto Iessi, Paolo Canestrari, Numonyx Agrate (Italy). . . . . . . . . . . . . . . . . . . . . . . . [7971-81]

Infl uence of BARC fi ltration and materials on the reduction of spire defects, Jens Schneider, Felix Braun, Susanne Volkland, Barbara Hornig, Markus Gunia, Lincoln O´Riain, Infi neon Technologies Dresden (Germany) . . . . . . . . . . . . . . . . . . [7971-82]

Novel CD-SEM magnifi cation calibration reference of sub-50-nm pitch multi-layer grating with positional identifi cation mark, Yoshinori Nakayama, Jiro Yamamoto, Hitachi, Ltd. (Japan); Osamu Inoue, Hiroki Kawada, Shozo Yoneda, Hitachi High-Technologies Corp. (Japan) . [7971-83]

Expanding the applications of computational lithography and inspection (CLI) in mask inspection, metrology, review, and repair, Linyong Pang, Danping Peng, Dongxue Chen, Lin He, Ying Li, Chris H. Clifford, Vikram L. Tolani, Luminescent Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-85]

Methodology for overlay mark selection, Chin-Chou K. Huang, Desmond Goh, David Tien, KLA-Tencor Corp. (United States) . . . . . . . . . . . [7971-86]

Process solutions for reducing PR residue over nonplanar wafer, Chia-Hua Lin, Chih-Hao Huang, Elvis Yang, Ta-Hung Yang, Kuang-Chao Chen, Chih-Yuan Lu, Macronix International Co., Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-87]

Impact of pellicle on overlay in double-patterning lithography, Frank Laske, KLA-Tencor MIE GmbH (Germany); Venkat R. Nagaswami, Chua Lin, YouSeung Jin, KLA-Tencor Corp. (United States); Gino Marcuccilli, KLA-Tencor Ireland (United States); Oliver Loeffl er, Advanced Mask Technology Ctr. GmbH Co. KG (Germany) [7971-88]

Contact hole measurements using YieldStar: an angle-resolved polarized scatterometer, Anne-Laure Charley, Philippe J. Leray, Shaunee Y. Cheng, IMEC (Belgium); Paul C. Hinnen, Peter Vanoppen, Mircea V. Dusa, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7971-89]

Microbubble size characterization for liquid chemical pressure dispense systems, Wei Liu, Glenn Tom, Clint Miller, ATMI, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-90]

Tuesday Poster Reception Sponsor

www.tel.com

Tuesday Poster Reception Sponsor

www.tel.com

Page 35: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 33

Tuesday 1 March — Poster Sessions — Room: Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

The assessment of the impact of mask pattern-shape variation on the contour-based OPC-modeling by using wafer and mask CD-SEM, Daisuke Hibino, Yutaka Hojyo, Tatsuya Maeda, Hitachi High-Technologies Corp. (Japan); John L. Sturtevant, Thuy Do, Ir Kusnadi, Mentor Graphics Corp. (United States) . . . . . . . . . . . . . . . . . [7971-92]

Calibration studies of pattern top resist loss detection by CD-SEM for advanced lithography process, Toru Ishimoto, IMEC (Belgium); Miki Isawa, Hitachi High-Technologies Corp. (Japan); Maki Tanaka, Hitachi, Ltd. (Japan); Shaunee Y. Cheng, IMEC (Belgium) . . . . . . . . . . . . . . . [7971-93]

Approaches to airborne molecular contamination assessment, Sarah Riddle-Vogt, SAES Pure Gas, Inc. (United States); Cristian Landoni, SAES Getters S.p.A. (Italy) . . . . . [7971-94]

Metrology of micro-step height structures using 3D scatterometry in 4x-nm advance DRAM, Mason Duan, Inotera Memories Inc. (Taiwan); Ching-Yueh Wang, KLA-Tencor Taiwan (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-95]

A study and simulation of the impact of high-order aberrations to overlay error distribution, Gang Sun, Fan Wang, Chang Zhou, Shanghai Micro Electronics Equipment Co., Ltd. (China) . . [7971-96]

Wafer-edge defect reduction for tri-layer materials in BEOL applications, Chia-Hua Lin, Chih-Hao Huang, Elvis Yang, Ta-Hung Yang, Kuang-Chao Chen, Chih-Yuan Lu, JiungRung Du, Macronix International Co., Ltd. (Taiwan) . [7971-97]

Enhanced defect of interest [DOI] monitoring by utilizing sensitive inspection and ADRTrue review, Saar Shabtay, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-98]

Multifeature focus exposure matrix for tool diagnosis, Zhiyong Yang, Anatoly Y. Burov, Lifeng Duan, Fan Wang, Shanghai Micro Electronics Equipment Co., Ltd. (China) . . . . . . . . . . . [7971-99]

Scatterometry measurement for gate ADI and AEI critical dimension of 28-nm metal gate technology, Yu H. Huang, Heng Ching Huang, Howard Chen, Kyle Shen, H. H. Chen, Chun-Chi Yu, J. H. Liao, United Microelectronics Corp. (Taiwan); ZhiQing Xu, KLA-Tencor China (China); Sungchul Yoo, KLA-Tencor Corp. (United States); Ching-Hung Lin, Harvey Cheng, KLA-Tencor Taiwan (United States); Jason H. Lin, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . [7971-100]

Surface scanning inspection system defect classifi cation of chemical mechanical polishing induced scratches, Steve A. McGarvey, Hitachi High Technologies America, Inc. (United States); Anne Miller, Fujimi Corp. (United States) [7971-102]

RS-Mini: an enterprise class highly compact mask inspection defect management framework for the mask and wafer fab infrastructure, Saghir Munir, Reticle Labs. (United States) . . . . [7971-103]

Wavefront measurement for EUV lithography system through Hartmann sensor, Alessandro Polo, Silvania F. Pereira, Florian Bociort, Hendrik P. Urbach, Technische Univ. Delft (Netherlands) . . . . . . . . . . . . . . . . . . . . . . [7971-104]

Bright-fi eld optical-inspection recipe-setup supported by simulation, Dongchul Ihm, Chulgi Song, Byoung-Ho Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Steve Lange, Stephane Durant, Sungchan Cho, Sanghyun Han, SungSu Kim, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-105]

Sensitivity analysis of line-edge roughness measured by scatterometry: simulation-based investigation toward ITRS’ “manufacturable solution”, Bartosz J. Bilski, Karsten Frenner, Wolfgang Osten, Univ. Stuttgart (Germany) . . . . . . . . . . . . . . . . . . . . . . . . [7971-106]

Diffraction-based overlay analysis for spacer patterning and double patterning technology, Byoung-Hoon Lee, Jeong-Su Park, Jongsu Lee, Sarohan Park, Changmoon Lim, Donggyu Yim, Sungki Park, Hynix Semiconductor Inc. (Korea, Republic of); Chan-Ho Ryu, Stephen Morgan, Maurits van de Schaar, Andreas Fuchs, Martyn J. Coogans, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . [7971-107]

CD-SEM recipe automatic creation technology for mass production using CAD data, Toshikazu Kawahara, Hitachi High-Technologies Corp. (Japan); Yoshida Masashi, Michihide Tanaka, Sony Semiconductor Kyushu Corp. (Japan); Sanyu Ido, Sony Semiconductor Kyushu Co., Ltd. (Japan); Hiroyuki Nakano, Sony Corp. (Japan); Naokaka Adachi, Yuichi Abe, Hitachi High-Technologies Corp. (Japan); Wataru Nagatomo, Hitachi, Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-109]

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 36: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

34 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

Simulation of the formation of undercut for a Novolac-based negative photoresist, Bing Li, Kai Yan, Jinhong Zheng, Yongying Ou, Cindy X. Chen, Roger Sinta, Kempur Microelectronics Inc.(China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-68]

Primary structure control of ArF resist polymer by regulating feed rate of monomers and initiator, Tomoya Oshikiri, Atsushi Yasuda, Keisuke Kato, Shinichi Maeda, Mitsubishi Rayon Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-69]

Novel MaterialsDouble-patterning lithography using one-stage and two-stage carbamate photobase generators, Hoang A. Tran, Shankar Rananavare, Portland State Univ. (United States); James Blackwell, Intel Corp. (United States) . . . . [7972-70]

Polymer-bound photobase generators and photo-acid generators for pitch-division lithography, Younjin Cho, Xinyu Gu, Yuji Hagiwara, Takanori Kawakami, Toshiyuki Ogata, Brandon Rawlings, The Univ. of Texas at Austin (United States); Yongjun Li, Arun K. Sundaresan, Nicholas J. Turro, Columbia Univ. (United States); Robert Bristol, Intel Corp. (United States); James M. Blackwell, Lawrence Berkeley National Lab. (United States); C. Grant Willson, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . [7972-71]

Single-component photo-acid and photobase generators for single-exposure pitch division, Hoang A. Tran, Portland State Univ. (United States); Edward A. Jackson, Joby Eldo, Ravi Kanjolia, SAFC Hitech (United States); Geniece Hallett-Tapley, Tse-Luen E. Wee, Andrew Lorimer, Juan C. Scaiano, Univ. of Ottawa (Canada); Shankar Rananavare, Portland State Univ. (United States); James Blackwell, Intel Corp. (United States) . . . . [7972-72]

Development of molecular resists based on Phenyl[4]calixarene derivatives for EUVL/EBL, Masaaki Takasuka, Yu Okada, Hiromi Hayashi, Masatoshi Echigo, Mitsubishi Gas Chemical Co., Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7972-73]

Si-based materials with good gap-fi ll and planarization properties in the pattern of high-aspect-ratio up to 36 for semiconductor application, Woojin Lee, Changsoo Woo, Jinhee Bae, Kwenwoo Han, Seunghee Hong, Miyoung Kim, Sang Kyun Kim, Jinwook Lee, Tuwon Chang, Cheil Industries Inc. (Korea, Republic of) . [7972-75]

Development of new Si-contained hardmask for trilayer process, Makoto Nakajima, Yuta Kanno, Nissan Chemical Industries, Ltd. (Japan) . [7972-76]

Etch durable spin-on hardmask, Makoto Muramatsu, Mitsuaki Iwashita, Tokyo Electron Kyusyu Ltd. (Japan); Takashi Kondo, Hisashi Hirose, Tokyo Electron AT Ltd. (Japan); Yoshiaki Yamada, Seiji Fujimoto, Tokyo Electron Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-77]

Conference 7972Advances in Resist Materials and Processing Technology XXVIII

EUVEUV negative-resist based on thiol-yne system, Masamitsu Shirai, Koich Maki, Haruyuki Okamura, Osaka Prefecture Univ. (Japan); Koji Kaneyama, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7972-51]

Molecular layer deposition of organic thin fi lms for EUV photoresist applications, Han Zhou, Paul W. Loscutoff, Stacey F. Bent, Stanford Univ. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-52]

High-sensitivity EUV-resists based on fl ourinated polymers, Tsuneo Yamashita, Masamichi Morita, Yoshito Tanaka, Daikin Industries, Ltd. (Japan); Julius J. Santillan, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7972-53]

Characterization of EUV irradiation effects on polystyrene derivatives studied by x-ray photo-electron spectroscopy (XPS) and ultraviolet photo-electron spectroscopy (UPS), Hiroki Yamamoto, Takahiro Kozawa, Seiichi Tagawa, Osaka Univ. (Japan) and JST-CREST (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-54]

Ultra-thin-fi lm EUV resists beyond 20-nm lithography, Hiroki Nakagawa, JSR Micro, Inc. (United States); Tomohisa Fujisawa, JSR Corp. (Japan); Kentaro Goto, JSR Micro, Inc. (United States); Tooru Kimura, Toshiyuki Kai, JSR Corp. (Japan); Yoshi Hishiro, JSR Micro, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-55]

Fundamental study on reaction mechanisms in chemically amplifi ed extreme-ultraviolet resists by using 61-nm free-electron laser, Kazumasa Okamoto, Hokkaido Univ. (Japan) and RIKEN (Japan); Takahiro Kozawa, Osaka Univ. (Japan) and RIKEN (Japan); Takaki Hatsui, RIKEN (Japan); Yasuharu Tajima, Hokkaido Univ. (Japan) and RIKEN (Japan); Keita Oikawa, Hokkaido Univ. (Japan); Mitsuru Nagasono, Takashi Kameshima, RIKEN (Japan); Tadashi Togashi, RIKEN (Japan) and JASRI (Japan); Kensuke Tono, Makina Yabashi, RIKEN (Japan); Hiroaki Kimura, RIKEN (Japan) and JASRI (Japan); Yasunori Senba, Haruhiko Ohashi, RIKEN (Japan); Takashi Sumiyoshi, Hokkaido Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-56]

Calculated reactivity analysis of photo-acid generators for EUV resist, Masayuki Endo, Seiichi Tagawa, Osaka Univ. (Japan) and JST-CREST (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-57]

Negative ToneDefect printability analysis in negative-tone development lithography, Junggun Heo, Junghyung Lee, Cheolkyu Bok, Donggyu Yim, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-59]

A study on post-exposure delay of negative-tone resist and its chemistry, Medhat A. Toukhy, Margareta Paunescu, Chunwei Chen, AZ Electronic Materials USA Corp. (United States) . . . . . [7972-60]

Resist FundamentalsPredicting resist sensitivity to chemical fl are effects though use of exposure density gradient method, Michael D. Hyatt, Kaveri Jain, Anton J. Devilliers, Micron Technology, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-61]

Deprotonation mechanism of poly(styrene-acrylate)-based chemically amplifi ed resist, Yasuharu Tajima, Kazumasa Okamoto, Hokkaido Univ. (Japan); Takahiro Kozawa, Seiichi Tagawa, Osaka Univ. (Japan); Ryoko Fujiyoshi, Takashi Sumiyoshi, Hokkaido Univ. (Japan) . . . . . . [7972-62]

Diffusion of amines from resist to BARC layer, Masamitsu Shirai, Tatsuya Hatsuse, Haruyuki Okamura, Osaka Prefecture Univ. (Japan); Shigeo Kimura, Yasuyuki Nakajima, Nissan Chemical Industries, Ltd. (Japan) . . . . . . . . . . . . . . . [7972-63]

Reduction of micro-bridging defects for 193-nm immersion resists, Lijing Gou, Vinay Nair, Hiroyuki Mori, Adam Olson, David Swindler, Anton J. Devilliers, Micron Technology, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-64]

Study of major factors to affect to photoresist profi le on developable bottom anti-refl ective coating process, Hyo Jung Roh, Dong Kyu Ju, Hyun Jin Kim, Jae Hyun Kim, Dongjin Semichem Co., Ltd. (Korea, Republic of) . . . . . . . . . . [7972-65]

Photo-initiated polymerization of new hybrid monomer containing vinyl ether and (methyl) acryloyl groups, Cuimei Diao, Yingquan Zou, Beijing Normal Univ. (China) . . . . . . . . . . . [7972-66]

Analysis of the generating action of the acid from PAG using acid-sensitive dyes, Atsushi Sekiguchi, Yoko Matsumoto, Konishi Hiroko, Litho Tech Japan Co., Ltd. (Japan); Kengo Moriyasu, Yukihiro Morimoto, Ushio Inc. (Japan) . . . [7972-67]

Tuesday 1 March — Poster Sessions — Room: Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

Tuesday Poster Reception Sponsor

www.tel.com

Tuesday Poster Reception Sponsor

www.tel.com

Page 37: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 35

The following posters will be on display after 10:00 am on Tuesday. The interactive poster session with authors in attendance will be Tuesday evening from 6:00 to 8:00 pm.

Implementation of KrF DBARCs for implant applications on advanced lithography nodes, Joyce A. Lowes, Alice Guerrero, Michael Weigand, Carlton Washburn, Brewer Science, Inc. (United States); Shalini Sharma, Mark Slezak, David Torres, Gary Dabbagh, Cherry Tang, JSR Micro, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7972-78]

A study of conductive material for e-beam lithography, Wen-Yun Wang, Chen-Yu Liu, Ching-Yu Chang, Yao-Ching Ku, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . . . . . [7972-79]

Development of plant-based resist materials in electron-beam lithography, Satoshi Takei, Toyama Prefectural Univ. (Japan); Akihiro Oshima, Naomi Yanamori, Osaka Univ. (Japan); Atsushi Sekiguchi, Toyama Prefectural Univ. (Japan); Takahiro Kozawa, Seiichi Tagawa, Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-80]

Environmentally friendly natural materials-based photo-acid generators for next-generation photolithography, Youngjin Cho, Christine Y. Ouyang, Cornell Univ. (United States); Wenjie Sun, Reyes Sierra, The Univ. of Arizona (United States); Christopher K. Ober, Cornell Univ. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-81]

Synthesis and photo-initiated polymerization of silicon-containing hybrid monomers, Yuan Fang, Yingquan Zou, Beijing Normal Univ. (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-82]

Development of hard mask-resist materials in nanoimprint lithography, Satoshi Takei, Toyama Prefectural Univ. (Japan) . . . . . . . . . . . . . . [7972-83]

Study on a few α-disulfone compounds as photo-acid generators, Dongfang Guo, Juan Liu, Liyuan Wang, Beijing Normal Univ. (China) [7972-84]

PAG-free chain scissioning resists for 193-nm immersion lithography that can be developed by aqueous base, Idriss Blakey, Lan Chen, Yong Keng Goh, Anneke Dorgelo, The Univ. of Queensland (Australia); Peng Xie, Neal V. Lafferty, Bruce W. Smith, Rochester Institute of Technology (United States); Paul A. Zimmerman, Intel Corp. (United States); M. Warren Montgomery, SEMATECH North (United States); Andrew K. Whittaker, The Univ. of Queensland (Australia). . . . . . . . . . . . . . . . [7972-86]

Comparison of new thick negative resist to SU-8, David Bourrier, Monique Dilhan, Granier Hugues, Ghannam Ayad, Lab. d’Analyse et d’Architecture des Systèmes (France) . . . . . . . . . . . . . . . [7972-87]

Advanced implant resist for profi le control, Te-Wei Tsai, Ya-Hui Chang, Ching-Yu Chang, Yao-Ching Ku, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Yoshihiro Yamamoto, Kurihara Tomoki, Yoshida Hiromu, Fukiya Hitoshi, George G. Barclay, Rohm and Haas Electronic Materials K.K. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-88]

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography, Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett, Brewer Science, Inc. (United States) . . . . . . . . . . . [7972-89]

Novel ProcessesUsing positive photomasks to pattern SU-8 masking layers for fabricating inverse MEMS structures, Ronald A. Coutu, Jr., Scott A. Ostrow, Jack P. Lombardi, Air Force Institute of Technology (United States) . . . . . . . . . . . . . . . . . . . . . . [7972-90]

Negative photo-imageable spin-on dielectrics: report on progress, challenges, and opportunities, Ruzhi Zhang, Chien-Hsien S. Lee, Mark Neisser, Ralph R. Dammel, AZ Electronic Materials USA Corp. (United States) . . . . . [7972-91]

Performance of trilayer process required for 22 nm and beyond, Yayi Wei, GLOBALFOUNDRIES Inc. (United States); Martin Glodde, Hakeem Yusuff, Margaret Lawson, Sang Yil Chang, IBM Corp. (United States); Kwang Sub Yoon, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Chung-hsi J. Wu, Mark Kelling, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-92]

Regeneration of imprint molds using vacuum ultraviolet light, Massashi Nakao, National Institute of Information and Communications Technology (Japan); Masanori Yamaguchi, Ushio Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-93]

Plasma etching of high-resolution features in a fullerene molecular resist, Alex P. Robinson, Jedsada Manyam, Mayandithevar Manickam, Jon Preece, Richard Palmer, The Univ. of Birmingham (United Kingdom) . . . . . . . . . . . . . . . . . . . . [7972-94]

Method of ellipsometric characterization of the resist and DBARC interface, Michael Reilly, James F. Cameron, Sabrina Wong, Adam Ware, Dow Advanced Materials (United States) . . . . . [7972-95]

Process optimization of high-aspect ratio sub-32-nm HSQ/AR3 bilayer resist pillar, Wei-Su G. Chen, Ming-Jinn Tsai, Industrial Technology Research Institute (Taiwan) . . . . . . . . . . . . [7972-97]

The enhanced photoresist shrink process technique toward 22-nm node, Kenichi Oyama, Syohei Yamauchi, Kazuo Yabe, Arisa Hara, Sakurako Natori, Hidetami Yaegashi, Tokyo Electron AT Ltd. (Japan) . . . . . . . . . . . . . . [7972-98]

Extend lithographic process limitation by shrink material, Dennis Yu, Ih Chih Chiang, Charlie Chen, Y. C. Pai, Chun-Chi Yu, United Microelectronics Corp. (Taiwan); Hiroshi Hitokawa, Tomohide Katayama, AZ Electronic Materials (Japan) K.K. (Japan); Yung-Cheng Chang, Vencent Chang, AZ Electronic Materials Taiwan Co., Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-99]

LWR reduction and fl ow of chemically amplifi ed resist patterns during sub-millisecond heating, Byungki Jung, Christopher K. Ober, Michael O. Thompson, Cornell Univ. (United States); Manish Chandhok, Intel Corp. (United States) . . [7972-100]

Critical challenges for “non-critical” layers, Juan-Manuel Gomez, Irene Y. Popova, Bidan Zhang, Hong Kry, IBM Corp. (United States); Steven J. Holmes, IBM Thomas J. Watson Research Ctr. (United States); Seiji Nakagawa, Toshiba Materials Co., Ltd. (Japan); Chan Sam Chang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . [7972-101]

SUEX process optimization for ultra-thick high-aspect ratio LIGA imaging, Don Johnson, DJ DevCorp (United States); Jost Goettert, Louisiana State Univ. (United States) . . . . . . . . . . . [7972-102]

E-beam patterning and stability study of sub-22-nm HSQ pillars, Wei-Su G. Chen, Ming-Jinn Tsai, Industrial Technology Research Institute (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-103]

ManufacturingA study of an acid-induced defect on chemically amplifi ed photoresist applied to sub-30-nm NAND fl ash memory, Yong-Hyun Lim, Jae-Doo Eom, Woo-Yung Jung, Min-Sik Jang, Byung-Seok Lee, Jin-Woong Kim, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . [7972-104]

Investigation of processing performance and requirements for next-generation lithography cluster tools, Masashi Enomoto, Tokyo Electron Europe Ltd. (Netherlands); Kathleen Nafus, Takeshi Shimoaoki, Noboru Nakashima, Kenji Tsutsumi, Hiroshi Marumoto, Hitoshi Kosugi, Tokyo Electron Kyushu Ltd. (Japan); Joerg Mallmann, Raymond Maas, Coen Verspaget, Paul Derwin, Rik Vangheluwe, Inge Lamers, Eddy van der Heijden, Suping Wang, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . [7972-105]

Characterization of fi lter performance on contact-hole defectivity, Jennifer Braggin, Entegris, Inc. (United States); Nelson Vitorino, Elizabeth Wolfer, John Zook, Victor Monreal, AZ Electronic Materials USA Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-106]

Filter priming effects on bottom anti-refl ective coating defects, Nick L. Brakensiek, Brewer Science, Inc. (United States); Jennifer Braggin, Entegris, Inc. (United States) . . . . . . . . . . [7972-108]

Resist dispense system for further defect reduction, Yamamoto Yusuke, Tokyo Electron Kyushu Ltd. (United States) . . . . . . . . . . . [7972-110]

Tuesday 1 March — Poster Sessions — Room: Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

Page 38: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

36 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Wednesday 2 March

SESSION 7Room: Conv. Ctr. Ballroom B

Wed. 8:00 to 10:00 am

Masks II8:00 am: Phase-defect printability and actinic dark-fi eld mask-blank inspection capability analyses, Tsuneo Terasawa, Takeshi Yamane, Takashi Kamo, Toshihiko Tanaka, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-29]

8:20 am: EUV masks under exposure: practical considerations, Emily E. Gallagher, Gregory R. McIntyre, Sudharshanan Raghunathan, IBM Corp. (United States); Uzodinma Okoroanyanwu, Obert R. Wood II, Thomas I. Wallow, GLOBALFOUNDRIES Inc. (United States); Louis M. Kindt, John Whang, Monica J. Barrett, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-30]

8:40 am: Contamination removal, inspection, and pattern repair on EUVL reticles, Norbert B. Koster, Freek Molkenboer, Emile van Veldhoven, Diederik J. Maas, TNO Science and Industry (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7969-31]

9:00 am: Feasibility of EUVL thin absorber mask for sub-32-nm half-pitch patterning, Yoon-Suk Hyun, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-32]

9:20 am: Current status of EUV mask blanks and LTEM substrates defectivity and cleaning of blanks exposed in EUV ADT, Arun J. Kadaksham, Byunghoon Lee, Mathiew House, SEMATECH North (United States); Thomas Laursen, Brian Niekrewicz, ASML US, Inc. (United States); Abbas Rastegar, SEMATECH North (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-33]

9:40 am: An EUV Fresnel zoneplate mask-imaging microscope for lithography generations reaching 8 nm, Kenneth A. Goldberg, Iacopo Mochi, Nathan S. Smith, Senajith B. Rekawa, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-34]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:20 am

SESSION 5Room: Conv. Ctr. Ballroom C

Wed. 8:00 to 9:50 am

Novel Applications ISession Chairs: Douglas J. Resnick,

Molecular Imprints, Inc.; Gregg M. Gallatin, National Institute of Standards and

Technology

8:00 am: Progress and challenges in 1 Terabit/inch 2 bit patterned media (Invited Paper), XiaoMin Yang, Seagate Technology LLC (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-17]

8:30 am: E-beam directed self-assembly and imprint lithography for patterned magnetic media, Elizabeth A. Dobisz, Ricardo Ruiz, Joan K. Bosworth, Toshiki Hirano, Kanaiyalal Patel, Dan S. Kercher, Tsai-Wei Wu, Olav Hellwig, Jeffrey Lille, Gabriel Zeltzer, Thomas R. Albrecht, Hitachi Global Storage Technologies, Inc. (United States) [7970-18]

8:50 am: Nanoimprint process for 2.5Tb/in2 bit patterned media fabricated by self-assembling method, Yasuaki Ootera, Akiko Yuzawa, Takuya Shimada, Ryousuke Yamamoto, Yoshiyuki Kamata, Naoko Kihara, Akira Kikitsu, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-19]

9:10 am: High-density patterned media fabrication using jet and fl ash imprint lithography, Zhengmao Ye, Rick Ramos, Dongxiang Liao, Scott Carden, Paul Hellebrekers, Dwayne L. LaBrake, Douglas J. Resnick, S. V. Sreenivasan, Molecular Imprints, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-20]

9:30 am: Fabrication of chevron patterns for patterned media with block copolymer directed self assembly, Guoliang Liu, Univ. of Wisconsin-Madison (United States); Ricardo Ruiz, Elizabeth A. Dobisz, Kanaiyalal Patel, Hitachi Global Storage Technologies, Inc. (United States); Paul F. Nealey, Univ. of Wisconsin-Madison (United States); Thomas R. Albrecht, Hitachi Global Storage Technologies, Inc. (United States). . . . . . . [7970-21]

Coffee Break . . . . . . . . . . . . . . . . . 9:50 to 10:20 am

SESSION 8Room: Conv. Ctr. Ballroom A1

Wed. 8:00 to 9:50 am

SEMSession Chairs: David C. Joy, The Univ. of Tennessee; Ofer Adan, Applied Materials

(Israel)

8:00 am: Experimental validation of 2D profi le photoresist shrinkage model (Invited Paper), Benjamin D. Bunday, International SEMATECH Manufacturing Initiative (United States); Andrew Self, Hitachi High Technologies America, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-30]

8:30 am: Surface modifi cation of EUVL mask blanks by SEM exposure, Arun J. Kadaksham, SEMATECH North (United States); Thomas Laursen, ASML US, Inc. (United States); Abbas Rastegar, Timothy Owen, Jon Underwood, SEMATECH North (United States) . . . . . . [7971-33]

8:50 am: High-throughput critical dimensions uniformity (CDU) measurement of two-dimensional (2D) structures using a scanning electron microscope (SEM) system, Jennifer M. Fullam, Carol A. Boye, Theodorus Standaert, IBM Corp. (United States); Hong Xiao, Yan Zhao, Fei Wang, Eric L. Ma, Wei Fang, Jack Y. Jau, Hermes-Microvision Inc., USA (United States) . . . . [7971-31]

9:10 am: Verifi cation and extension of the MBL technique for photoresist pattern shape measurement, Miki Isawa, Hitachi High-Technologies Corp. (Japan); Maki Tanaka, Hitachi, Ltd. (Japan); Hideyuki Kazumi, Hitachi High-Technologies Corp. (Japan); Chie Shishido, Akira Hamamatsu, Hitachi, Ltd. (Japan); Norio Hasegawa, Hitachi High-Technologies Corp. (Japan); Peter De Bisschop, David Laidler, Philippe J. Leray, Shaunee Y. Cheng, IMEC (Belgium) . . . . . . . . . . . . . [7971-32]

9:30 am: Optical properties of scanning electron microscope for inspection of nanodevices, Muneyuki Fukuda, Noritsugu Takahashi, Tomoyasu Shojou, Hiroya Ohta, Hiroshi Suzuki, Hitachi, Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-34]

Coffee Break . . . . . . . . . . . . . . . . . 9:50 to 10:20 am

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 38. Conference 7970 continues on page 38.Conference 7971 continues on page 38.

Page 39: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 37

SESSION 8Room: Conv. Ctr. Ballroom A3

Wed. 8:00 to 10:00 am

Simulation of Lithographic Phenomena

Session Chairs: Scott W. Jessen, Texas Instruments Inc.; Christoph K. Hohle,

Fraunhofer-Ctr. Nanoelektronische Technologien (Germany)

8:00 am: Analysis of resist patterns for material and process design: parameter extraction from dose pitch matrices of line-width and edge roughness and cross-sectional SEM images, Takahiro Kozawa, Osaka Univ. (Japan); Hiroaki Oizumi, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan); Seiichi Tagawa, Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7972-30]

8:20 am: Stochastic post-exposure bake kinetics of chemically amplifi ed photoresists: a simulation study, Chris A. Mack, Lithoguru.com (United States); Mark D. Smith, John J. Biafore, KLA-Tencor Texas (United States) . . . . . . [7972-31]

8:40 am: Meso-scale simulation of the line-edge structure based on resist polymer molecules by negative-tone process, Hiroshi Morita, National Institute of Advanced Industrial Science and Technology (Japan) . . . . . . . . . . . . . . . . . . [7972-33]

9:00 am: Resist line-edge roughness modeling for continuous space simulations, Yuan He, Hong Chen, Anton J. Devilliers, Micron Technology, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7972-34]

9:20 am: Stochastic resist simulation of a negative tone development process, Stewart A. Robertson, KLA-Tencor Texas (United States); Michael Reilly, Young C. Bae, Dow Advanced Materials (United States) . . . . . . . . . . . . . . [7972-32]

9:40 am: Physical modeling of developable BARC at KrF, Michael Reilly, Dow Advanced Materials (United States); John J. Biafore, KLA-Tencor Arizona (United States); James F. Cameron, Dow Electronic Materials (United States); Stewart A. Robertson, KLA-Tencor Texas (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-35]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:20 am

Wednesday 2 March

SESSION 5Room: Conv. Ctr. Ballroom A2

Wed. 8:00 to 9:30 am

Double Patterning IISession Chairs: Jongwook Kye,

GLOBALFOUNDRIES Inc.; Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Co. Ltd.

(Taiwan)

8:00 am: Mandrel-based patterning: density multiplication techniques for 15-nm nodes (Invited Paper), Christopher Bencher, Applied Materials, Inc. (United States) . . . . . . . . . . [7973-19]

8:30 am: Characterization of a thermal freeze LLE double-patterning process for predictive physical simulation, Stewart A. Robertson, John J. Biafore, KLA-Tencor Texas (United States); Patrick Wong, Vincent Wiaux, Nadia Vandenbroeck, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-20]

8:50 am: Improving double-patterning fl ow by analyzing diffractive orders interactions, Nassima Zeggaoui, Vincent Farys, Emek Yesilada, STMicroelectronics (France) . . . . . . . . . . . [7973-21]

9:10 am: Spacer-defi ned double patterning for 20/15-nm logic BEOL technology, Ryoung-Han Kim, GLOBALFOUNDRIES Inc. (United States); Chiew-Seng Koay, Sean D. Burns, Yunpeng Yin, John C. Arnold, Christopher Waskiewicz, Sanjay Mehta, Matthew E. Colburn, IBM Research (United States); Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . [7973-22]

SESSION 6Room: Conv. Ctr. Ballroom A2

Wed. 9:30 to 10:10 am

Mask 3D ModelingSession Chairs: Peter D. Buck, Toppan Photomasks, Inc.; Andreas Erdmann,

Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany)

9:30 am: Accuracy and performance of 3D mask models in optical projection lithography, Viviana A. Agudelo Moreno, Peter Evanschitzky, Tim Fühner, Feng Shao, Andreas Erdmann, Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany) . . . . . [7973-23]

9:50 am: Accounting for mask topography effects in source-mask optimization for advanced nodes, Tamer H. Coskun, Hsu-Ting Huang, Vishnu Kamat, Cadence Design Systems, Inc. (United States); Huixiong Dai, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-24]

Coffee Break . . . . . . . . . . . . . . . . 10:10 to 10:40 am

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 39.

Conference 7973 continues on page 39.

Page 40: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

38 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Wednesday 2 March

SESSION 8Room: Conv. Ctr. Ballroom BWed. 10:20 am to 12:20 pm

EUV II: Joint Session with Conference 7972

Session Chair: Patrick P. Naulleau, Lawrence Berkeley National Lab.

10:20 am: Extendibility of EUV resists in the exposure wavelength from 13.5 down to 3.1 nm for next-generation lithography, Tomoko G. Oyama, Tomohiro Takahashi, Waseda Univ. (Japan); Akihiro Oshima, Osaka Univ. (Japan); Masakazu Washio, Waseda Univ. (Japan); Seiichi Tagawa, Osaka Univ. (Japan) and JST-CREST (Japan) and Waseda Univ. (Japan) . . . . . . [7972-36]

10:40 am: Understanding EUV resist dissolution characteristics and its impact to RLS limitations, Carlos Fonseca, Tokyo Electron America, Inc. (United States); Hideo Shite, Tokyo Electron Kyushu Ltd. (Japan); Gustaf Winroth, IMEC (Belgium); Brian H. Head, Tokyo Electron America, Inc. (United States); Roel Gronheid, IMEC (Belgium); Kathleen Nafus, Tokyo Electron Kyushu Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-35]

11:00 am: Underlayer physical properties and their effects on the lithographic performance of extreme-ultraviolet photoresists, Craig Higgins, Patricia Wolfe, Brian Cardineau, Seth Kruger, Richard Matyi, Univ. at Albany (United States); Jacque Georger, Karen E. Petrillo, SEMATECH North (United States); Robert L. Brainard, Univ. at Albany (United States) . . . . . . . . . . . . . . . . [7972-37]

11:20 am: Impact of polymerization process on LWR of an EUV resist, Vipul Jain, Suzanne M. Coley, Dow Electronic Materials (United States); Jung June Lee, Dow Chemical Co. (Korea, Republic of); Matthew D. Christianson, Daniel J. Arriola, Dow Chemical Co. (United States); Su Jin Kang, Dow Electronic Materials (United States); Michael D. Wagner, Dow Chemical Co. (United States); Kathleen Spear-Alfonso, David Valeri, James W. Thackeray, Dow Electronic Materials (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-36]

11:40 am: EUV underlayer materials for 22-nm HP and beyond, Huirong Yao, Zachary Bogusz, Joonyeon Cho, Jianhui Shan, Salem Mullen, Guanyang Lin, Mark Neisser, AZ Electronic Materials USA Corp. (United States) . . . . . [7972-38]

12:00 pm: Line-width roughness control and pattern collapse solutions for EUV patterning, Karen E. Petrillo, George Huang, Dominic Ashworth, Kyoung-Yong Cho, Liping Ren, M. Warren Montgomery, Stefan Wurm, SEMATECH North (United States); Shinichiro Kawakami, Shannon Dunn, Akiteryu Ko, TEL Technology Ctr., America, LLC (United States); Tom E. Winter, Tokyo Electron America, Inc. (United States) . . . . . . . . . . . [7969-37]

Lunch/Exhibition Break . . . . . . . . . 12:20 to 1:30 pm

SESSION 6Room: Conv. Ctr. Ballroom CWed. 10:20 am to 12:10 pm

Directed Self-Assembly II: Processing and Fundamentals

Session Chairs: Matt Malloy, SEMATECH Inc.; Joy Y. Cheng, IBM Almaden Research Ctr.

10:20 am: The effect of local segment fl exibility mismatch on defects in directed-self-assembly of di-block copolymers, Clifford L. Henderson, Peter J. Ludovice, Georgia Institute of Technology (United States) . . . . . . . . . . . . . . . . . . . . . . [7970-22]

10:40 am: Self-assembling block copolymer resist blends for large-area, low-cost etch masks, Curran M. Chandler, Univ. of Massachusetts Amherst (United States); Evan L. Schwartz, Cornell Univ. (United States); Vikram K. Daga, Univ. of Massachusetts Amherst (United States); Christopher K. Ober, Cornell Univ. (United States); James J. Watkins, Univ. of Massachusetts Amherst (United States) . . . . . . . . . . . . . . [7970-23]

11:00 am: Guided self-assembly of block-copolymer for CMOS technology: a comparative study between grapho-epitaxy and surface chemical modifi cation, Lorea Oria, Francesc Pérez-Murano, Ctr. Nacional de Microelectrónica (Spain); Alaitz Ruiz de Luzuriaga, Juan Antonio Alduncín, David Mecerreyes, CIDETEC -IK4 (Spain); Raluca Tiron, Xavier Chevalier, Stéphanie Gaugiran, Lab. d’Electronique de Technologie de l’Information (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-24]

11:20 am: Study and optimization of the parameters governing the block copolymer self-assembly: toward a future integration in standard lithographic processes, Xavier Chevalier, Lab. d’Electronique de Technologie de l’Information (France) and Univ. de Bordeaux 1 (France); Raluca Tiron, Tanvi Upreti, Lab. d’Electronique de Technologie de l’Information (France); Christophe Navarro, Arkema S.A. (France); Thierry Chevolleau, Thierry Lebeau, Gilles Cunges, Lab. d’Electronique de Technologie de l’Information (France); Guillaume Fleury, Georges Hadziioannou, Univ. de Bordeaux 1 (France) . . . . . . . . . . [7970-25]

11:40 am: Registration of sub-10-nm features by hierarchical self-assembly of styrene-dimethylsiloxane block copolymers (Invited Paper), Jeong Gon Son, Adam Hannon, Kevin Gotrik, Alfredo Alexander-Katz, Caroline A. Ross, Massachusetts Institute of Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-26]

Lunch/Exhibition Break . . . . . . . . . 12:10 to 1:20 pm

SESSION 9Room: Conv. Ctr. Ballroom A1Wed. 10:20 am to 12:00 pm

ScatterometrySession Chairs: Christopher J. Raymond,

Nanometrics Inc.; Jason P. Cain, Advanced Micro Devices, Inc.

10:20 am: Metrology characterization of spacer double patterning by scatterometry, Prasad Dasari, Jie Li, Jiangtao Hu, Zhuan Liu, Nanometrics Inc. (United States); Oleg Kritsun, Catherine Volkman, Ryoung-Han Kim, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . [7971-35]

10:40 am: Optical far-fi eld measurements applied to microroughness determination of periodic microelectronic structures, Philippe Maillot, Alexandre Vauselle, STMicroelectronics (France); Gaelle Georges, Carole Deumié-Raviol, Institut Fresnel (France) . . . . . . . . . . . . . . . [7971-36]

11:00 am: A holistic metrology approach: multi-channel scatterometry for complex applications, Cornel Bozdog, Helen Kim, Susan Ng, Nova Measuring Instruments Inc. (United States); Boris Sherman, Igor Turovets, Ronen Urensky, Boaz Brill, Nova Measuring Instruments Ltd. (Israel); Alok Vaid, GLOBALFOUNDRIES Inc. (United States); Ravi P. Srivastava, GLOBALFOUNDRIES Singapore (Singapore); Matthew Sendelbach, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-37]

11:20 am: Diffraction-based overlay reassessed, Philippe J. Leray, David Laidler, Shaunee Y. Cheng, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . [7971-38]

11:40 am: Overlay measurement by Mueller polarimetry in the back focal plane, Tatiana Novikova, Clement Fallet, Martin Foldyna, Ecole Polytechnique (France); Cyril Vannuffel, Lab. d’Electronique de Technologie de l’Information (France); Antonello De Martino, Ecole Polytechnique (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-39]

Lunch/Exhibition Break . . . . . . . . . 12:00 to 1:20 pm

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 40.

Conference 7970 continues on page 40.

Conference 7971 continues on page 40.

Page 41: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 39

SESSION 7Room: Conv. Ctr. Ballroom A2

Wed. 10:40 to 12:00 pm

Tools and Process Control ISession Chairs: Kafai Lai, IBM Corp.; Nigel R.

Farrar, Cymer, Inc.

10:40 am: Improved fab CDU with FlexRay and LithoTuner, Robert J. Socha, ASML US, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-25]

11:00 am: Optical proximity stability control of ArF immersion clusters, Lieve Van Look, Joost P. Bekaert, Bart Laenens, Koen D’havé, Geert Vandenberghe, Shaunee Y. Cheng, IMEC (Belgium); Koen Schreel, Jan-Willem Gemmink, Henry J. Megens, ASML Netherlands B.V. (Netherlands); Wenjin Shao, Brion Technologies, Inc. (United States); Paul van Adrichem, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7973-26]

11:20 am: Scanner matching using pupil intensity control between scanners in 30-nm DRAM device, Jongwon Jang, Deajin Park, Jeaseung Choi, Cheol-Kyun Kim, Donggyu Yim, Hynix Semiconductor Inc. (Korea, Republic of) . [7973-27]

11:40 am: Enabling 22-nm logic node with advanced RET solutions, Vincent Farys, STMicroelectronics (France); Laurent Depre, Vincent Arnoux, Brion Technologies, Inc. (United States); Jo M. Finders, ASML Netherlands B.V. (Netherlands); Yorick Trouiller, STMicroelectronics (France); Hua-Yu Liu, Brion Technologies, Inc. (United States); Emek Yesilada, Nassima Zeggaoui, Clovis Alleaume, STMicroelectronics (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-68]

Lunch/Exhibition Break . . . . . . 12:00 am to 1:20 pm

Wednesday 2 March

SESSION 9Room: Conv. Ctr. Ballroom BWed. 10:20 am to 12:20 pm

EUV II: Joint Session with Conference 7969

Session Chair: Yoshio Kawai, Shin-Etsu Chemical Co., Ltd. (Japan)

10:20 am: Extendibility of EUV resists in the exposure wavelength from 13.5 down to 3.1 nm for next-generation lithography, Tomoko G. Oyama, Tomohiro Takahashi, Waseda Univ. (Japan); Akihiro Oshima, Osaka Univ. (Japan); Masakazu Washio, Waseda Univ. (Japan); Seiichi Tagawa, Osaka Univ. (Japan) and JST-CREST (Japan) and Waseda Univ. (Japan) . . . . . . [7972-36]

10:40 am: Understanding EUV resist dissolution characteristics and its impact to RLS limitations, Carlos Fonseca, Tokyo Electron America, Inc. (United States); Hideo Shite, Tokyo Electron Kyushu Ltd. (Japan); Gustaf Winroth, IMEC (Belgium); Brian H. Head, Tokyo Electron America, Inc. (United States); Roel Gronheid, IMEC (Belgium); Kathleen Nafus, Tokyo Electron Kyushu Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-35]

11:00 am: Underlayer physical properties and their effects on the lithographic performance of extreme-ultraviolet photoresists, Craig Higgins, Patricia Wolfe, Brian Cardineau, Seth Kruger, Richard Matyi, Univ. at Albany (United States); Jacque Georger, Karen E. Petrillo, SEMATECH North (United States); Robert L. Brainard, Univ. at Albany (United States) . . . . . . . . . . . . . . . . [7972-37]

11:20 am: Impact of polymerization process on LWR of an EUV resist, Vipul Jain, Suzanne M. Coley, Dow Electronic Materials (United States); Jung June Lee, Dow Chemical Co. (Korea, Republic of); Matthew D. Christianson, Daniel J. Arriola, Dow Chemical Co. (United States); Su Jin Kang, Dow Electronic Materials (United States); Michael D. Wagner, Dow Chemical Co. (United States); Kathleen Spear-Alfonso, David Valeri, James W. Thackeray, Dow Electronic Materials (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-36]

11:40 am: EUV underlayer materials for 22-nm HP and beyond, Huirong Yao, Zachary Bogusz, Joonyeon Cho, Jianhui Shan, Salem Mullen, Guanyang Lin, Mark Neisser, AZ Electronic Materials USA Corp. (United States) . . . . . [7972-38]

12:00 pm: Line-width roughness control and pattern collapse solutions for EUV patterning, Karen E. Petrillo, George Huang, Dominic Ashworth, Kyoung-Yong Cho, Liping Ren, M. Warren Montgomery, Stefan Wurm, SEMATECH North (United States); Shinichiro Kawakami, Shannon Dunn, Akiteryu Ko, TEL Technology Ctr., America, LLC (United States); Tom E. Winter, Tokyo Electron America, Inc. (United States) . . . . . . . . . . . [7969-37]

Lunch/Exhibition Break . . . . . . . . . 12:20 to 1:30 pm

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 41.

Conference 7973 continues on page 41.

Get the training you need to stay ahead of the technology curve.Register for Courses at the registration desk.

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 42: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

40 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 9Room: Conv. Ctr. Ballroom B

Wed. 1:30 to 3:30 pm

Resist1:30 pm: Out of band radiation effects on resist patterning, Simi A. George, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-38]

1:50 pm: Directly patterned inorganic hardmask for EUV lithography, Jason K. Stowers, Alan Telecky, Douglas A. Keszler, Andrew Grenville, Inpria Corp. (United States); Patrick P. Naulleau, Christopher N. Anderson, Lawrence Berkeley National Lab. (United States). . . . . . . . . . . [7969-39]

2:10 pm: Novel resolution enhancement layer for EUVL, Hyun-Woo Kim, Hai-Sub Na, Chang-Min Park, Cheolhong Park, Sumin Kim, Chawon Koh, K. Subramanya Mayya, Insung Kim, Han-ku Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-40]

2:30 pm: A new model for chemically amplifi ed EUV photoresists, Thomas V. Pistor, Panoramic Technology Inc. (United States); Thomas I. Wallow, GLOBALFOUNDRIES Inc. (United States); Christopher N. Anderson, Lawrence Berkeley National Lab. (United States). . . . . . . . . . . [7969-41]

2:50 pm: LWR and resist collapse improvement in EUV resist process, Chawon Koh, Cheolhong Park, Sumin Kim, Chang-Min Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Kyoung-Yong Cho, SEMATECH North (Korea, Republic of); Min-Joon Park, Soonwon Hwang, Hai-Sub Na, K. Subramanya Mayya, Hyun-Woo Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . [7969-42]

3:10 pm: Stochastic exposure kinetics of EUV photoresists: a simulation study, Chris A. Mack, Lithoguru.com (United States); James W. Thackeray, Dow Advanced Materials (United States); John J. Biafore, Mark D. Smith, KLA-Tencor Texas (United States) . . . . . . . . . . . . . . . . [7969-43]

Coffee Break . . . . . . . . . . . . . . . . . . 3:30 to 4:00 pm

SESSION 7Room: Conv. Ctr. Ballroom C

Wed. 1:20 to 3:00 pm

Nanoimprint Lithography II: Processes and Materials

Session Chairs: Elizabeth A. Dobisz, Hitachi Global Storage Technologies, Inc.; Douglas J.

Resnick, Molecular Imprints, Inc.

1:20 pm: Approaches to rapid resist spreading on dispensing based UV-NIL, Kazuyuki Usuki, Satoshi Wakamatsu, Tadashi Oomatsu, Kunihiko Kodama, Kenichi Kodama, FUJIFILM Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-27]

1:40 pm: Reactive fl uorinated surfactant for step and fl ash imprint lithography, Tsuyoshi Ogawa, The Univ. of Texas at Austin (United States) and Central Glass Co., Ltd. (Japan); Daniel J. Hellebusch, Michael W. Lin, B. Michael Jacobsson, William Bell, C. Grant Willson, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . [7970-28]

2:00 pm: A new releasing material and continuous nanoimprinting in mold replication for patterned media, Kouta Suzuki, Hideo Kobayashi, Takashi Sato, Hiroshi Yamashita, Tsuyoshi Watanabe, HOYA Corp. (Japan) [7970-29]

2:20 pm: Detection, identifi cation, mitigation, and resolution of defects in roll-to-roll imprint lithography for fl exible displays, John G. Maltabes, Robert Cobene, Craig Perlov, Mark Smith, Marcia Almanza-Workman, Richard E. Elder, Warren B. Jackson, Ohseung Kwon, Hewlett-Packard Labs. (United States) . . . . . . . . . . [7970-30]

2:40 pm: Step and repeat UV nanoimprint lithography on pre-spin coated fi lms: a promising route for fabrication of nanophotonic chips, Christophe Peroz, Abeam Technologies (United States); Scott D. Dhuey, The Molecular Foundry (United States); Alexander Golstov, Nano-Optic Devices (United States); Marko Vogler, micro resist technology GmbH (Germany); Bruce D. Harteneck, The Molecular Foundry (United States); Igor Ivonin, Nano-Optic Devices (United States); Stefano Cabrini, The Molecular Foundry (United States); Sergey Babin, Abeam Technologies (United States); Vladimir V. Yankov, Nano-Optic Devices (United States) . . . . . . . . . . . . . . . . . . . . . . [7970-31]

Coffee Break . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

SESSION 10Room: Conv. Ctr. Ballroom A1

Wed. 1:20 to 3:00 pm

AFM and StandardsSession Chairs: Vladimir A. Ukraintsev,

Nanometrology International, Inc.; Benjamin D. Bunday, International SEMATECH

Manufacturing Initiative

1:20 pm: Nested uncertainties and hybrid metrology to improve measurement accuracy, Richard M. Silver, Nien-Fan Zhang, Bryan M. Barnes, Hui Zhou, Ronald G. Dixson, National Institute of Standards and Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-40]

1:40 pm: Reconciling measurements in AFM reference metrology when using different probing techniques, Narender Rana, Chas N. Archie, IBM Corp. (United States); Johann Foucher, Lab. d’Electronique de Technologie de l’Information (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-41]

2:00 pm: New three-dimensional AFM for CD measurement and sidewall characterization, Yueming Hua, Cynthia Coggins, Park Systems Inc. (United States); Yong-ha Lee, Jung-Min Lee, Kyung-Deuk Ryang, Sang-Il Park, Park Systems Corp. (Korea, Republic of) . . . . . . . . . . . . . [7971-42]

2:20 pm: Rapid probe microscope for high-throughput review of semiconductor wafers, Andrew D. L. Humphris, Priyanka Kohli, Dave Bakker, Infi nitesima Ltd. (United Kingdom); Dilip Patel, Akira Hamaguchi, Arceo Abraham, SEMATECH North (United States) . . . . . . [7971-43]

2:40 pm: Artifacts of the AFM image due to the probe controlling parameters, Hiroshi Itoh, Chunmei Wang, National Institute of Advanced Industrial Science and Technology (Japan) [7971-44]

Coffee Break . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

Wednesday 2 March

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 42.

Conference 7970 continues on page 42.

Conference 7971 continues on page 42.

Page 43: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 41

SESSION 10Room: Conv. Ctr. Ballroom A3

Wed. 1:30 to 3:30 pm

Novel Materials and Processing ISession Chairs: Qinghuang Lin, IBM Thomas

J. Watson Research Ctr.; Douglas J. Guerrero, Brewer Science, Inc.

1:30 pm: Impact of post-litho LWR smoothing processes on the post-etch patterning result, Philippe Foubert, Alessandro Vaglio-Pret, Efrain Altamirano Sanchez, Roel Gronheid, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-39]

1:50 pm: Developable BARC (DBARC) technology as a solution to today’s implant lithographic challenges, James F. Cameron, Jin Wuk Sung, Sabrina Wong, Adam Ware, Yoshihiro Yamamoto, Hiroaki Kitaguchi, Dow Electronic Materials (United States); Libor Vyklicky, Steven J. Holmes, Irene Y. Popova, Ranee Kwong, P. Rao Varanasi, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-40]

2:10 pm: 193-nm resist chemical modifi cation induced by HBr cure plasma treatment: a TD-GC/MS outgassing study, Raluca Tiron, Lab. d’Electronique de Technologie de l’Information (France); Erwine Pargon, Laurent Azarnouche, Commissariat à l’Énergie Atomique (France); Herve Fontaine, Sylviane Cetre, Lab. d’Electronique de Technologie de l’Information (France); Claire Sourd, Commissariat à l’Énergie Atomique (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-41]

2:30 pm: Systematic studies on reactive ion etch-induced deformations of organic underlayers, Martin Glodde, Sebastian U. Engelmann, Michael A. Guillorn, IBM Thomas J. Watson Research Ctr. (United States); Sivananda Kanakasabapathy, Erin Mclellan Martin, Chiew-Seng Koay, Yunpeng Yin, Muthumanickam Sankarapandian, John C. Arnold, Karen E. Petrillo, IBM Corp. (United States); Markus Brink, E. Anuja de Silva, IBM Thomas J. Watson Research Ctr. (United States); Hakeem Yusuff, IBM Corp. (United States); Kwang Sub Yoon, SAMSUNG Electronics Co., Ltd. (United States); Yayi Wei, GLOBALFOUNDRIES Inc. (United States); Chung-hsi J. Wu, P. Rao Varanasi, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-42]

2:50 pm: Hexafl uoroalcohol (HFA) containing molecular resist materials for high-resolution lithographic applications, E. Anuja de Silva, IBM Thomas J. Watson Research Ctr. (United States); Linda K. Sundberg, Ratnam Sooriyakumaran, Luisa D. Bozano, Gregory Breyta, William D. Hinsberg, Masaki Fujiwara, IBM Almaden Research Ctr. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-43]

3:10 pm: Bound PAG resists: EUV and electron-beam lithography performance comparison, Luisa D. Bozano, Phillip Brock, Hoa Truong, Gregory M. Wallraff, William D. Hinsberg, Robert Allen, IBM Almaden Research Ctr. (United States); Masaki Fujiwara, Central Glass International, Inc. (United States); Kazuhiko Maeda, Central Glass Co., Ltd. (Japan) . . [7972-44]

Coffee Break . . . . . . . . . . . . . . . . . . 3:30 to 4:00 pm

SESSION 8Room: Conv. Ctr. Ballroom A2

Wed. 1:20 to 2:20 pm

Tools and Process Control IISession Chairs: Wilhelm Maurer, Infi neon

Technologies AG (Germany); Soichi Owa, Nikon Corp. (Japan)

1:20 pm: Solutions for 22-nm node patterning using ArFi technology, Jo M. Finders, Thomas J. M. Castenmiller, Jan Mulkens, ASML Netherlands B.V. (Netherlands); Mircea V. Dusa, ASM Belgium N.V. (Belgium); Yu Cao, Brion Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-29]

1:40 pm: Characterization and control of dynamic lens heating effects under high-volume manufacturing conditions, Joost P. Bekaert, Lieve Van Look, Bart Laenens, Geert Vandenberghe, IMEC (Belgium); Stefan Hunsche, Hua Cao, Martin Snajdr, Brion Technologies, Inc. (United States); Paul van Adrichem, Alena Andryzhyieuskaya, Mark J. Maslow, Fred Stoffels, Jan-Willem Gemmink, ASML Netherlands B.V. (Netherlands); Alexander Wolf, Jens Timo Neumann, Carl Zeiss SMT AG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-30]

2:00 pm: An aberration control of projection optics for multiple-patterning lithography, Yasuhiro Ohmura, Hisashi Nishinaga, Toru Hirayama, Takeshi Shiota, Tomoyuki Matsuyama, Satoshi Ishiyama, Susumu Isago, Hidetaka Kawahara, Taro Ogata, Nikon Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7973-31]

SESSION 9Room: Conv. Ctr. Ballroom A2

Wed. 2:20 to 3:00 pm

Computational LithographySession Chairs: Soichi Inoue, Toshiba Materials Co., Ltd. (Japan); Geert Vandenberghe, IMEC

(Belgium)

2:20 pm: Fine calibration of physical resist models: the importance of Jones matrix, laser bandwidth, mask error, and CD metrology for accurate modeling at advanced lithographic nodes, Seongho Moon, Seung-Hune Yang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Ulrich K. Klostermann, Bernd Küchler, Thomas Schmöller, Synopsys GmbH (Germany); Artem Shamsuarov, Eunju Kim, Jung-Hoon Ser, Young-Chang Kim, Seong-Woon Choi, Jeong-Lim Nam, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); John Lewellen, Synopsys, Inc. (United States) . . . . . . . . . . [7973-32]

2:40 pm: Criteria of photomask line-edge roughness for computational lithography and EUV lithography, Jin Choi, Sang-Hee Lee, Heebom Kim, Sang-Gyun Woo, Han-ku Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . [7973-33]

Coffee Break . . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

Wednesday 2 March

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7972 continues on page 43. Conference 7973 continues on page 43.

Page 44: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

42 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 10Room: Conv. Ctr. Ballroom B

Wed. 4:00 to 5:40 pm

Masks IIISession Chairs: Stanley E. Stokowski,

KLA-Tencor Corp.; Bryan S. Kasprowicz, Photronics, Inc.

4:00 pm: Modeling growth of defects during multilayer deposition of EUV blanks by level set method, Vibhu Jindal, Parick A. Kearney, SEMATECH North (United States) . . [7969-44]

4:20 pm: Demonstration of defect-free EUV mask for 22-nm NAND fl ash contact hole layer using electron-beam inspection system, Takeya Shimomura, DNP America, LLC (United States); Satoshi Kawashima, Yuichi Inazuki, Tsukasa Abe, Tadahiko Takikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Fei Wang, Eric L. Ma, Yan Zhao, Chiyan Kuan, Hong Xiao, Jack Y. Jau, Hermes-Microvision Inc., USA (United States) [7969-45]

4:40 pm: Development of new FIB technology for EUVL mask repair, Fumio Aramaki, Takashi Ogawa, Osamu Matsuda, Anto Yasaka, SII NanoTechnology Inc. (Japan); Tsuyoshi Amano, Hiroyuki Shigemura, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7969-46]

5:00 pm: Inspectability of mask phase defects in EUV lithography, Ming-Jiun Yao, Pei-Cheng Hsu, Wen-Chang Hsueh, Ching-Fang Yu, Jieh-Jang Chen, Shin-Chang Lee, Sheng-Ji Chin, Timothy Wu, Shinn-Sheng Yu, Jimmy Hu, Anthony Yen, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . [7969-47]

5:20 pm: Compensation for EUV multilayer defects within arbitrary layouts by absorbers pattern modifi cation, Linyong Pang, Chris H. Clifford, Ying Li, Danping Peng, Luminescent Technologies, Inc. (United States). . . [7969-48]

SESSION 8Room: Conv. Ctr. Ballroom C

Wed. 3:30 to 5:40 pm

Maskless Lithography IISession Chairs: Lloyd C. Litt, SEMATECH Inc.;

Laurent Pain, CEA-LETI (France)

3:30 pm: Fast mask writer: technology options and considerations (Invited Paper), Lloyd C. Litt, SEMATECH (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . .[7970-32]4:00 pm: IMAGINE: an open consortium to boost maskless lithography take off: fi rst assessment results on MAPPER technology, Laurent Pain, Serge V. Tedesco, Beatrice Icard, Mickael Martin, Christophe Constancias, Lab. d’Electronique de Technologie de l’Information (France); Bert J. Kampherbeek, MAPPER Lithography (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7970-33]4:20 pm: Infl uence of massively parallel e-beam direct-write pixel size on electron proximity correction, Shy-Jay Lin, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[7970-34]4:40 pm: Data path development for massive electron-beam maskless lithography, Faruk Krecinic, Jack J. Chen, Shy-Jay Lin, Burn J. Lin, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . . . . . . . . . .[7970-35]5:00 pm: EBDW to complement optical lithography for 1D GDR patterning, David K. Lam, David Liu, Multibeam Corp. (United States); Michael C. Smayling, Tela Innovations, Inc. (United States); Ted Prescop, Multibeam Corp. (United States) . . . . . . . . . . . . . . . . . . . . . .[7970-36]5:20 pm: Model-based mask data preparation and impact on resist heating, A. Fujimura, D2S, Inc. (United States); T. Kamikubo, NuFlare Technology, Inc. (Japan); I. Bork, D2S, Inc. (United States) . . . . . . . . . . . . . .[7970-37]

Room: Conv. Ctr. Ballroom CWed. 7:30 to 9:30 pm

Panel Discussion: Economics of Lithography for Alternative ApplicationsPanel Moderator: William M. Tong, KLA-Tencor Corp.

The explosive growth of the semiconductor industry has driven the development of lithography in the relentless march to follow Moore’s Law. It is probably no exaggeration that >70% of lithography revenues today are derived from semiconductor production. Historically, cutting-edge lithography has been tailored to the needs of the IC-industry. From a lithography provider’s standpoint, the need of the IC industry overshadows the needs for other applications. However, the IC industry carries high margins but has very high performance metrics which lead to very high cost. These requirements are becoming divergent from those of other emerging applications, such as optics, biotech, or even the hard disk, which are driven by very different economic models. The goal of this panel is to provide a forum to illuminate this blind spot. It will help lithography providers understand the requirements of the emerging applications, and for the applications developers to appreciate the limitations and potentials of the future lithography.

SESSION 11Room: Conv. Ctr. Ballroom A2

Wed. 3:30 to 5:30 pm

Innovative Lithography Process Control: Joint Session with

Conference 7973Session Chairs: Alexander Starikov, I&I Consulting; Will Conley, Freescale

Semiconductor, Inc.

3:30 pm: Stability and calibration of overlay and focus control for a double-patterning immersion scanner, Masahiko Yasuda, Shinji Wakamoto, Hiroto Imagawa, Shinya Takubo, Yuuji Shiba, Takahisa Kikuchi, Yosuke Shirata, Yuuki Ishii, Nikon Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7973-34]

3:50 pm: Advanced CDU improvement for 22 nm and below, Tomoharu Fujiwara, Tsuyoshi Toki, Daishi Tanaka, Junichi Kosugi, Tomohiko Susa, Akira Tokui, Nikon Corp. (Japan) . . . . . . . . [7973-35]

4:10 pm: Combined overlay, focus and CD metrology for leading edge lithography, Martin Ebert, Hugo Cramer, Wim Tel, Michael Kubis, Henry J. Megens, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7973-36]

4:30 pm: In-line dose and focus monitoring and control for 32-28 nm processing, Jens Busch, Bernd Schulz, Holger Bald, GLOBALFOUNDRIES Inc. (Germany); Wolfgang Sitzmann, Marcus Wollenweber, Christian Sparka, KLA-Tencor Germany (Germany); Eitan Herzel, Pavel Izikson, Anat Marchelli, John C. Robinson, KLA-Tencor Israel (Israel). . . . . . . . . . . . . . . . . . . . . . . . [7971-45]

4:50 pm: High-sensitive and fast scanner focus monitoring method using forbidden pitch pattern, Jinseok Heo, Seok-Hwan Oh, Jeong-Ho Yeo, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . [7971-46]

5:10 pm: Correcting image placement errors using registration control (RegC) technology, Guy Ben-Zvi, Erez Graitzer, Avi Cohen, Vladimir Dmitriev, Pixer Technology Ltd. (Israel); Gunter Antesberger, Stephanie Winkelmeier, Advanced Mask Technology Ctr. GmbH Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7973-37]

Wednesday 2 March

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

or

s

States); T. Kamikubo, NuFlare Technology, Inc. (Japan); I.Bork, D2S, Inc. (United States) . . . . . . . . . . . . . .[7970-37]

Room: Conv. Ctr. Ballroom CWed. 7:30 to 9:30 pm

Panel Discussion: Economics of Lithography for Alternative ApplicationsPanel Moderator: William M. Tong, KLA-Tencor Corp.

The explosive growth of the semiconductor industryhas driven the development of lithography in therelentless march to follow Moore’s Law. It is probably noexaggeration that >70% of lithography revenues todayare derived from semiconductor production. Historically, cutting-edge lithography has been tailored to the needs of the IC-industry. From a lithography provider’s standpoint, the need of the IC industry overshadows the needs forother applications. However, the IC industry carries high margins but has very high performance metrics whichlead to very high cost. These requirements are becoming divergent from those of other emerging applications, suchas optics, biotech, or even the hard disk, which are drivenby very different economic models. The goal of this panelis to provide a forum to illuminate this blind spot. It willhelp lithography providers understand the requirementsof the emerging applications, and for the applications developers to appreciate the limitations and potentials of the future lithography.

GAIs

4mpYL

5uGDAM(G

Conference 7969 continues on page 50.

Conference 7970 continues on page 50.

Conference 7971 continues on page 50.

Page 45: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 43

SESSION 11Room: Conv. Ctr. Ballroom A3

Wed. 4:00 to 6:00 pm

Novel Materials and Processing IISession Chairs: Luisa D. Bozano, IBM

Almaden Research Ctr.; Clifford L. Henderson, Georgia Institute of Technology

4:00 pm: Addressing challenges in lithography using sub-millisecond post exposure bake of chemically amplifi ed resists, Byungki Jung, Florencia Paredes, Christopher K. Ober, Michael O. Thompson, Cornell Univ. (United States); Manish Chandhok, Todd R. Younkin, Intel Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7972-45]

4:20 pm: Extension of photo-patternable low-k concept to 193-nm lithography and e-beam lithography, Qinghuang Lin, IBM Thomas J. Watson Research Ctr. (United States); Alshakim Nelson, Luisa D. Bozano, Phillip Brock, IBM Almaden Research Ctr. (United States); S. Cohen, IBM Thomas J. Watson Research Ctr. (United States); Blake Davis, IBM Almaden Research Ctr. (United States); Ranee Kwong, IBM Corp. (United States); E. Liniger, D. Neumayer, IBM Thomas J. Watson Research Ctr. (United States); J. S. Rathore, IBM Almaden Research Ctr. (United States); H. Shobha, IBM Corp. (United States); Ratnam Sooriyakumaran, IBM Almaden Research Ctr. (United States); Sampath Purushothaman, IBM Thomas J. Watson Research Ctr. (United States); Robert Miller, Robert D. Allen, IBM Almaden Research Ctr. (United States); T. Spooner, IBM Corp. (United States); R. Wisnieff, IBM Thomas J. Watson Research Ctr. (United States) . . . [7972-46]

4:40 pm: Process capability of implementing ArF negative resist into production, Meng-Feng Tsai, Yang-Liang Li, Chan-Tsun Wu, Yi-Shiang Chang, Chia-Chi Lin, Jun-Cheng Lai, Powerchip Semiconductor Corp. (Taiwan) . . . . . . . . . [7972-47]

5:00 pm: Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193-nm lithography, Marie E. Krysak, Markos Trikeriotis, Evan L. Schwartz, Cornell Univ. (United States); Neal V. Lafferty, Peng Xie, Bruce W. Smith, Rochester Institute of Technology (United States); Paul A. Zimmerman, Intel Corp. (United States); M. Warren Montgomery, SEMATECH North (United States); Emmanuel P. Giannelis, Christopher K. Ober, Cornell Univ. (United States) . . . . . . [7972-48]

5:20 pm: Fast dry cleaning of resins by high-power vacuum ultraviolet light, Massashi Nakao, National Institute of Information and Communications Technology (Japan); Masanori Yamaguchi, Ushio Inc. (Japan) . . . . . . . . . [7972-49]

5:40 pm: TBD, . . . . . . . . . . . . . . . . . . . . . [7972-50]

Conference End

SESSION 10Room: Conv. Ctr. Ballroom A2

Wed. 3:30 to 5:30 pm

Innovative Lithography Process Control: Joint Session with

Conference 7971Session Chairs: Alexander Starikov, I&I Consulting; Will Conley, Freescale

Semiconductor, Inc.

3:30 pm: Stability and calibration of overlay and focus control for a double-patterning immersion scanner, Masahiko Yasuda, Shinji Wakamoto, Hiroto Imagawa, Shinya Takubo, Yuuji Shiba, Takahisa Kikuchi, Yosuke Shirata, Yuuki Ishii, Nikon Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7973-34]

3:50 pm: Advanced CDU improvement for 22 nm and below, Tomoharu Fujiwara, Tsuyoshi Toki, Daishi Tanaka, Junichi Kosugi, Tomohiko Susa, Akira Tokui, Nikon Corp. (Japan) . . . . . . . . [7973-35]

4:10 pm: Combined overlay, focus and CD metrology for leading edge lithography, Martin Ebert, Hugo Cramer, Wim Tel, Michael Kubis, Henry J. Megens, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7973-36]

4:30 pm: In-line dose and focus monitoring and control for 32-28 nm processing, Jens Busch, Bernd Schulz, Holger Bald, GLOBALFOUNDRIES Inc. (Germany); Wolfgang Sitzmann, Marcus Wollenweber, Christian Sparka, KLA-Tencor Germany (Germany); Eitan Herzel, Pavel Izikson, Anat Marchelli, John C. Robinson, KLA-Tencor Israel (Israel). . . . . . . . . . . . . . . . . . . . . . . . [7971-45]

4:50 pm: High-sensitive and fast scanner focus monitoring method using forbidden pitch pattern, Jinseok Heo, Seok-Hwan Oh, Jeong-Ho Yeo, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . [7971-46]

5:10 pm: Correcting image placement errors using registration control (RegC) technology, Guy Ben-Zvi, Erez Graitzer, Avi Cohen, Vladimir Dmitriev, Pixer Technology Ltd. (Israel); Gunter Antesberger, Stephanie Winkelmeier, Advanced Mask Technology Ctr. GmbH Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7973-37]

SESSION 1Room: Conv. Ctr. Ballroom A4

Wed. 3:50 to 5:50 pm

Keynote SessionSession Chairs: Mark E. Mason, Texas

Instruments Inc.; Michael L. Rieger, Synopsys, Inc.

3:50 pm: Moore’s Law in the innovation era (Keynote Presentation), Mark T. Bohr, Intel Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-01]

4:30 pm: Achieving Moore (Keynote Presentation), John Kibarian, PDF Solutions Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-02]

5:10 pm: DFM: past, present, and future (Keynote Presentation), Mark E. Mason, Texas Instruments Inc. (United States) . . . . . . . . . . . . . . . . . . [7974-03]

Conference 7974

Design for Manufacturability through Design-Process Integration V

Wednesday 2 March

Conference 7972

Advances in Resist Materials and Processing Technology XXVIII

Conference 7973

Optical Microlithography XXIV

Conference 7973 continues on page 51.

Conference 7974 continues on page 51.

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 46: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

44 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Conference 7969Extreme Ultraviolet (EUV) Lithography II

DevicesComparison between ADT and PPT for 2X-DRAM patterning, Sunyoung Koo, Jun-Taek Park, Yoon-Suk Hyun, Keundo Ban, Seokkyun Kim, Chang-Moon Lim, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-57]

Shadowing effect modeling and compensation for EUV lithography, Hua Song, Lena V. Zavyalova, Synopsys, Inc. (United States); Irene Y. Su, Synopsys Taiwan Ltd. (Taiwan); James P. Shiely, Synopsys, Inc. (United States); Thomas Schmöller, Synopsys GmbH (Germany) . . . . . . . . . . . [7969-58]

Convergence study for lines spaces and holes, Loic Perraud, Yorick Trouiller, Commissariat à l’Énergie Atomique (France) and STMicroelectronics (France); Emek Yesilada, Frederic Robert, Franck Foussadier, Vincent Farys, Christian Gardin, STMicroelectronics (France) . . . . . . . . . . . [7969-59]

MaskParticle qualifi cation procedure for the TNO EUV reticle load port module of the HamaTech MaskTrackPro cleaning tool, Jetske Stortelder, Jacques van der Donck, Sjoerd Oostrom, Peter van der Walle, TNO (Netherlands); Peter Dress, Oliver Brux, HamaTech APE GmbH & Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7969-60]

EUVL dark-fi eld exposure impact on CDs using thick and thin absorber masks, Sang Hun Lee, Michael J. Leeson, Manish Chandhok, Steve L. Carson, Guojing Zhang, Seh-Jin Park, Steve Putna, Intel Corp. (United States) . . . . . . . . . . . . . [7969-61]

Particle detection on fl at surfaces, Jacques van der Donck, Rob Snel, Jetske Stortelder, Alfred Abutan, Sjoerd Oostrom, Bert A. van der Zwan, TNO (Netherlands) . . . . . . . . . . . . . . . . . . . [7969-62]

Impact of mask line-edge roughness on exposed resist lines in extreme-ultraviolet lithography, Alessandro Vaglio-Pret, Roel Gronheid, IMEC (Belgium); Mark D. Smith, Trey Graves, John J. Biafore, KLA-Tencor Arizona (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-63]

Fast and accurate EUV mask simulation with new modular simulator, Chris H. Clifford, Ying Li, Danping Peng, Linyong Pang, Luminescent Technologies, Inc. (United States). . . . . . . [7969-64]

Evaluation results of a new EUV reticle pod having reticle grounding paths, Kazuya Ota, Masami Yonekawa, Mitsuaki Amemiya, Takao Taguchi, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . [7969-65]

Repeat mask cleaning effects on EUV lithography process and lifetime, Simi A. George, Lorie-Mae Baclea-an, Lawrence Berkeley National Lab. (United States); Robert J. Chen, Ted Liang, Intel Corp. (United States); Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-66]

Quantitative evaluation of mask phase defects from through-focus EUV aerial images, Iacopo Mochi, Kenneth A. Goldberg, Lawrence Berkeley National Lab. (United States); Andrew R. Neureuther, Kenji Yamazoe, Univ. of California, Berkeley (United States) . . . . . . . . . . . . . . [7969-67]

Physical and geometric optics models for mask roughness induced LER, Brittany M. McClinton, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . [7969-68]

LER aberration sensitivity and extended dipole illumination, Brittany M. McClinton, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-69]

Absorber height effects on SWA restrictions and ‘shadow’ LER, Brittany M. McClinton, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States); Thomas I. Wallow, GLOBALFOUNDRIES Inc. (United States) . . . . . . . . . . . . . . . . . . [7969-70]

EUVL alternating phase-shift mask, Pei-Yang Yan, Alan Myers, Yashesh A. Shroff, Manish Chandhok, Guojing Zhang, Intel Corp. (United States); Eric M. Gullikson, Farhad H. Salmassi, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-119]

OpticsRelationships between EUV resist outgassing and contamination deposition at Selete, Hiroaki Oizumi, Kazuyuki Matsumaro, Satoshi Nomura, Julius J. Santillan, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . [7969-71]

Interaction of benzene and toluene vapors with Ru(0001) surface: relevance to MLM contamination, Robert A. Bartynski, Boris V. Yakshinskiy, Quantong Shen, Rutgers, The State Univ. of New Jersey (United States) . . . . . [7969-72]

Dependence of contamination rates on key parameters in EUV optics, Yashdeep Khopkar, Petros Thomas, Leonid Yankulin, Rashi Garg, Chimaobi Mbanaso, Alin O. Antohe, Yu-Jen Fan, Mihir Upadhyaya, Vimal K. Kamineni, Gregory P. Denbeaux, Univ. at Albany (United States); Vibhu Jindal, Andrea F. Wuest, SEMATECH North (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-74]

Bandwidth control of Mo/Si multiyear-based EUV high refl ectors, Jue Wang, Horst Schreiber, Corning Tropel Corp. (United States) . . . . [7969-75]

Experimental and theoretical study on asymmetric carbon contamination coverage observed on SFET-exposed mask, Iwao Nishiyama, Toshihisa Anazawa, Noriaki Takagi, Yuusuke Tanaka, Osamu Suga, Yukiyasu Arisawa, Toshihiko Tanaka, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7969-76]

Damage testing of EUV optics using focused radiation from a table-top LPP source, Klaus Mann, Frank Barkusky, Armin Bayer, Stefan Döring, Laser-Lab. Göttingen e.V. (Germany) . . . . [7969-77]

Plasma-assisted cleaning by metastable atom neutralization (PACMAN): a plasma approach to cleanliness in lithography, Wayne M. Lytle, Daniel Andruczyk, David N. Ruzic, Univ. of Illinois at Urbana-Champaign (United States) . . . . . [7969-78]

Broadband spectrophotometry on non-planar EUV-multilayer optics, Istvan Balasa, Holger Blaschke, Detlev Ristau, Laser Zentrum Hannover e.V. (Germany) . . . . . . . . . . . . . . . . . . . . . . [7969-79]

Development of an in-situ Sn cleaning method for extreme-ultraviolet light lithography, John Sporre, David N. Ruzic, Univ. of Illinois at Urbana-Champaign (United States); Oleh V. Khodykin, Dave W. Myers, Cymer, Inc. (United States) . . . [7969-80]

Removal of carbon and tin contamination with low-power downstream plasma cleaning using different gases, Gabe Morgan, Ronald Vane, XEI Scientifi c, Inc. (United States) . . . . . . . . . . [7969-81]

Narrow spectral bandwidth EUV multilayers: fabrication and performance, Farhad H. Salmassi, Eric M. Gullikson, Yanwei Liu, Lawrence Berkeley National Lab. (United States). . . . . . . . . . . [7969-82]

Effi cient specifi cation and characterization of surface roughness for extreme ultraviolet optics, Sven Schröder, Marcus Trost, Torsten Feigl, Fraunhofer-Institut für Angewandte Optik und Feinmechanik (Germany); James E. Harvey, CREOL, College of Optics and Photonics, Univ. of Central Florida (United States); Angela Duparré, Fraunhofer-Institut für Angewandte Optik und Feinmechanik (Germany) . . . . . . . . . . . . [7969-120]

ResistApplication of fl ash-lamp post-exposure baking for EUV resist processing, Koji Kaneyama, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7969-83]

Availability of underlayer application to EUV process, Hitoshi Kosugi, Tokyo Electron Kyushu Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7969-84]

Development of underlayer material for EUV lithography, Takafumi Endo, Rikimaru Sakamoto, Ryuji Ohnishi, Bang-Ching Ho, Nissan Chemical Industries, Ltd. (Japan) . . . . . . . . . . . . . . . [7969-85]

Study of post-develop defect on typical EUV resist, Masahiko Harumoto, Sadayasu Suyama, Tadashi Miyagi, Akihiko Morita, Masaya Asai, SOKUDO Co., Ltd. (Japan); Koji Kaneyama, Toshiro Itani, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7969-86]

Study of ion implantation into EUV resist for LWR improvement, Yukiko Kikuchi, Daisuke Kawamura, Toshiba Materials Co., Ltd. (Japan); Hiroyuki Mizuno, Toshiba Corp. (Japan) . . [7969-88]

Development of EUV resist for 22-nm half-pitch and beyond, Kouta Nishino, Toshiyuki Kai, Tooru Kimura, Ken Maruyama, JSR Engineering Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-89]

Acid diffusion measurements based on extreme-ultraviolet resist outgassing, Chimaobi Mbanaso, Seth Kruger, Craig Higgins, Alin O. Antohe, Robert L. Brainard, Gregory P. Denbeaux, Univ. at Albany (United States) . . . . . . . . . . . . . . . . . . . . . . [7969-90]

Page 47: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 45

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

EUV lithography for 22-nm half-pitch and beyond: exploring resist resolution, LWR, and sensitivity trade-offs, Steve Putna, Todd R. Younkin, Michael J. Leeson, Roman Caudillo, Terence Bacuita, Uday Shah, Manish Chandhok, Intel Corp. (United States) . . . . . . . . . . . . . [7969-91]

Unraveling the effect of resist composition upon EUV optics contamination, Ivan K. A. Pollentier, Imanol Neira, Anne-Marie Goethals, Roel Gronheid, IMEC (Belgium); Shinji Tarutani, Hiroshi Tamaoki, Toshiya Takahashi, Hideaki Tsubaki, FUJIFILM Corp. (Japan); Rik Hoefnagels, Jens A. Steinhoff, Marianna Silova, Cemil Kaya, Joep van Dijk, ASML Netherlands B.V. (Netherlands) . . . . . . . . . [7969-92]

Acid proliferation to improve the sensitivity of EUV resists, Kazuyuki Enomoto, Osaka Univ. (Japan); Koji Arimitsu, Atsutaro Yoshizawa, Tokyo Univ. of Science (Japan); Hiroki Yamamoto, Akihiro Oshima, Takahiro Kozawa, Seiichi Tagawa, Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7969-93]

Studies of acid diffusion of anionic or cationic polymer bound PAG, Hyunsang Joo, Korea Kumho Petrochemical Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-94]

Deep ultraviolet out-of-band contribution in extreme-ultraviolet lithography: predictions and experiments, Gian F. Lorusso, IMEC (Belgium); Natalia Davydova, Mark Eurlings, Cemil Kaya, Yue Peng, Kees Feenstra, ASML Netherlands B.V. (Netherlands); Theodore H. Fedynyshyn, MIT Lincoln Lab. (United States); Oliver Natt, Peter Huber, Christoph Zaczek, Carl Zeiss SMT AG (Germany); Eric Hendrickx, IMEC (Belgium); Paul Graeupner, Carl Zeiss SMT AG (Germany) [7969-95]

SourcesUnderstanding the behaviour of laser-produced tin plasma by time-resolved spectroscopy and simulation of their spectra, Imam Kambali, Tom D. McCormack, Enda Scally, John K. White, Gerry D. O’Sullivan, Fergal O’Reilly, Paul Sheridan, Univ. College Dublin (Ireland) . . . . . . . . . . . . . . . [7969-96]

Laser-produced plasma EUV source around 6.7 nm as future EUV source, Takamitsu Otsuka, Utsunomiya Univ. (Japan); John K. White, Deirdre Kilbane, Univ. College Dublin (Ireland); Takeshi Higashiguchi, Noboru Yugami, Toyohiko Yatagai, Utsunomiya Univ. (Japan); Weihua Jiang, Nagaoka Univ. of Technology (Japan); Akira Endo, Forschungszentrum Dresden-Rossendorf e.V. (Germany); Padraig Dunne, Gerry D. O’Sullivan, Univ. College Dublin (Ireland) . . . . . . . . . . [7969-97]

Counter-facing plasma focus system as an effi cient and long-pulse EUV light source, Hajime Kuwabara, IHI Corp. (Japan); Kenji Hayashi, Yusuke Kuroda, Tokyo Institute of Technology (Japan); Hiroyuki Nose, Kozue Hotozuka, IHI Corp. (Japan); Mitsuo Nakajima, Kazuhiko Horioka, Tokyo Institute of Technology (Japan) . . . . . . . . . . . . . . . . [7969-98]

Development of the reliable 20-kW class pulsed carbon dioxide laser system for LPP EUV light source, Junichi Fujimoto, Takeshi Ohta, Krzysztof M. Nowak, Takashi Suganuma, Hidenobu Kameda, Toshio Yokoduka, Koji Fujitaka, Masato Moriya, Gigaphoton Inc. (Japan); Akira Sumitani, EUVA/Komatsu Ltd. (Japan); Hakaru Mizoguchi, Gigaphoton Inc. (Japan); Akira Endo, Forschungszentrum Dresden-Rossendorf e.V. (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7969-99]

Characterization and optimization of tin particle mitigation and EUV conversion effi ciency in a laser-produced plasma EUV light source, Tatsuya Yanagida, Komatsu Ltd. (Japan) and EUVA (Japan); Hitoshi Nagano, Komatsu Ltd. (Japan); Takayuki Yabu, Shinji Nagai, Georg Soumagne, Tsukasa Hori, Komatsu Ltd. (Japan) and EUVA (Japan); Kouji Kakizaki, Komatsu Ltd. (Japan); Akira Sumitani, Komatsu Ltd. (Japan) and EUVA (Japan); Junichi Fujimoto, Hakaru Mizoguchi, Gigaphoton Inc. (Japan); Akira Endo, Forschungszentrum Dresden-Rossendorf e.V. (Germany) . . . [7969-100]

Development of debris-mitigation tool for HVM DPP source, Hironobu Yabuta, Yuki Joshima, Yusuke Teramoto, EUVA/Philips Extreme UV GmbH (Germany); Hiroto Sato, Kazuaki Hotta, EUVA (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-101]

Sn fi lm and ignition control for performance enhancement of laser-triggered DPP source, Yusuke Teramoto, Gota Niimi, EUVA/Philips Extreme UV GmbH (Japan); Takuma Yokoyama, EUVA/Philips Extreme UV GmbH (Germany); Hideyuki Urakami, EUVA/Philips Extreme UV GmbH (Japan); Hiroto Sato, Kazuaki Hotta, EUVA (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-103]

Stable tin droplets for LPP EUV sources, Bob Rollinger, Oran Morris, Reza S. Abhari, ETH Zurich (Switzerland) . . . . . . . . . . . . . . . . . . . . . . [7969-104]

EUV brightness, stability and contamination measurements at the intermediate focus module, Andrea Z. Giovannini, Oran Morris, Ian Henderson, Reza S. Abhari, Samir S. Ellwi, ETH Zurich (Switzerland) . . . . . . . . . . . . . . . . . [7969-105]

Characterization of the Sn-droplet EUV source at the LEC, ETH, Oran Morris, Flori Alickaj, Andrea Z. Giovannini, Ian Henderson, Bob Rollinger, Reza S. Abhari, ETH Zurich (Switzerland); Samir S. Ellwi, Adlyte Ltd. (Switzerland) . . . . . . . . . . . . . [7969-106]

Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications, Deborah Gustafson, Stephen F. Horne, Matthew J. Partlow, Matthew M. Besen, Donald K. Smith, Paul A. Blackborow, Energetiq Technology, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-107]

Investigation on the interaction of long-duration Nd:YAG laser pulse with Sn plasma for an EUV metrology source, Yezheng Tao, Yoshifumi Ueno, Sam Yuspeh, Russell A. Burdt, Mark S. Tillack, Farrokh Najmabadi, Univ. of California, San Diego (United States) . . . . . . . . . . . . . . . . . . . . . [7969-108]

Lifetime of EUV optics under pulsed source, Sergiy A. Yulin, Mark Schürmann, Viatcheslav Nesterenko, Torsten Feigl, Norbert Kaiser, Fraunhofer-Institut für Angewandte Optik und Feinmechanik (Germany); Max C. Schuermann, Boris Tkachenko, XTREME technologies GmbH (Germany); Shannon B. Hill, Nadir S. Faradzhev, Lee J. Richter, Thomas B. Lucatorto, National Institute of Standards and Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-110]

Next-generation of Z* modelling tool for high-intensity EUV and soft x-ray plasma sources simulations, Sergey V. Zakharov, NANO-UV SAS (France) and EPPRA SAS (France) and Kurchatov Institute (Russian Federation); Vasily S. Zakharov, EPPRA SAS (France); Peter Choi, NANO-UV SAS (France) and EPPRA SAS (France) . . . . . [7969-111]

Laser-produced plasma lightsource for EUVL, Igor V. Fomenkov, Alexander I. Ershov, William N. Partlo, Dave W. Myers, Daniel J. W. Brown, Richard L. Sandstrom, Bruno M. La Fontaine, Alexander N. Bykanov, Norbert R. Bowering, Georgiy O. Vaschenko, Peter Baumgart, Silvia De Dea, Richard R. Hou, Shailendra N. Srivastava, Imtiaz Admad, David C. Brandt, Cymer, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-112]

Tabletop coherent EUV source for mask metrology, Iain T. McKinnie, Kapteyn-Murnane Labs., Inc. (United States) . . . . . . . . . . . . [7969-113]

ToolsOverlay accuracy of EUV1 using compensation method for nonfl atness of mask, Yuusuke Tanaka, Takashi Kamo, Kazuya Ota, Hiroyuki Tanaka, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Masamitsu Itoh, Toshiba Corp. (Japan); Shusuke Yoshitake, NuFlare Technology, Inc. (Japan) . . . . . . . . . . . . . [7969-114]

EUV processing investigation on state-of-the-art coater/developer system, Hideo Shite, Kathleen Nafus, Tokyo Electron Ltd./IMEC (Belgium); Junichi Kitano, Hitoshi Kosugi, Tokyo Electron Kyushu Ltd. (Japan); Neil G. Bradon, Tokyo Electron Ltd./IMEC (Belgium); Philippe Foubert, Roel Gronheid, Jan V. Hermans, Eric Hendrickx, Anne-Marie Goethals, Christiane Jehoul, Dieter Van den Heuvel, Shaunee Y. Cheng, IMEC (Belgium) . . . . . . . . . . . . [7969-115]

Holographic Fourier-synthesis custom-coherence illuminator suitable for 0.5-NA extreme-ultraviolet micro-fi eld lithography, Christopher N. Anderson, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7969-116]

Lateral shearing interferometry for high-resolution EUV optical testing, Ryan H. Miyakawa, Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . . . . . [7969-117]

Extensibility of extreme-UV lithography, Shinn-Sheng Yu, Anthony Yen, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . . . . [7969-118]

Page 48: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

46 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Conference 7970Alternative Lithographic Technologies IIIScatterometry sensitivity for NIL process, Kazuhiro Sato, Koichi Sentoku, Takahiro Miyakawa, Hideki Ina, Canon Inc. (Japan) . . . . . . . . . [7970-56]

Directed self-assembly of block copolymer on photochemically attached polymer surface layer, Shigeki Hattori, Koji Asakawa, Satoshi Mikoshiba, Hiroko Nakamura, Atsushi Hieno, Yuriko Seino, Masahiro Kanno, Tsukasa Azuma, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-58]

Soft lithography for patterning polymer-carbon nanotube composite, Li Jiang, Saidul Islam, Tuskegee Univ. (United States); Naga S. Korivi, Louisiana State Univ. (United States); Chung-Han Chen, Tuskegee Univ. (United States). . . . [7970-59]

Direct-write maskless lithography using patterned oxidation of Si-substrate induced by femtosecond laser pulses, Amirkianoosh Kiani, Krishnan Venkatakrishnan, Bo Tan, Ryerson Univ. (Canada) . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-60]

Fast and large-fi eld electron-beam exposure by CSEL, Akira Kojima, Crestec Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-61]

Optimization of e-beam landing energy for EBDW, David Liu, Ted Prescop, Multibeam Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . [7970-63]

Demonstration of lithography patterns using refl ective e-beam direct write, Regina Freed, Jeff Sun, Alan D. Brodie, Paul Petric, Mark A. McCord, KLA-Tencor Corp. (United States); Kurt G. Ronse, Luc Haspeslagh, Bart Vereecke, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-64]

A lossless circuit layout image compression algorithm for electron beam direct write lithography systems, Jeehong Yang, Univ. of Michigan (United States); Serap Savari, Texas A&M Univ. (United States) . . . . . . . . . . . . . . . . . [7970-65]

Electron-beam-induced freezing of a positive tone EUV resist for use in directed self-assembly applications, Han-Hao Cheng, Idriss Blakey, Ya-Mi Chuang, Kevin Jack, Imelda Keen, Anguang Yu, The Univ. of Queensland (Australia); Michael J. Leeson, Todd R. Younkin, Intel Corp. (United States); Andrew K. Whittaker, The Univ. of Queensland (Australia). . . . . . . . . . . . . . . . [7970-66]

Corner rounding compensation in photomask writing, Dai Tsunoda, Nippon Control System Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-67]

Fabrication active defect in colloidal crystals by two-photon-induced polymerization, Feng Jin, Xuan-Ming Duan, Xian-Zi Dong, Technical Institute of Physics and Chemistry (China); Yan-Lin Song, Jing-Xia Wang, Liang Xu, Institute of Chemistry (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-68]

High-throughput near-fi eld optical nanolithography by scanning microsphere array, David J. Hwang, Hyojin Choi, Sanghoon Ahn, Sang-gil Ryu, Costas P. Grigoropoulos, Univ. of California, Berkeley (United States) . . . . . . [7970-70]

Conference 7973Optical Microlithography XXIV

Computational LithographySession Chair: Soichi Inoue, Toshiba

Materials Co., Ltd. (Japan)

Hotspot repair using ILT, Woojoo Sim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . [7973-56]

Fast algorithm for quadratic aberration model based on cross triple correlation operator, Wei Liu, Tingting Zhou, Shiyuan Liu, Huazhong Univ. of Science and Technology (China) . . . . . . . . [7973-57]

Choosing objective functions for inverse lithography patterning, Jue-Chin Yu, Peichen Yu, National Chiao Tung Univ. (Taiwan) . . . . . [7973-58]

Physical conversion of a general Mueller matrix into the respective Jones matrix applicable to the calculation of lithographic images, Hiroshi Nomura, Masanari Takahashi, Toshiba Materials Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . [7973-59]

Double PatterningSession Chairs: Jongwook Kye,

GLOBALFOUNDRIES Inc.; Carlos Fonseca, Tokyo Electron America, Inc.

Self-aligned triple patterning for continuous IC scaling to half-pitch 15 nm, Yijian Chen, Ping Xu, Liyan Miao, Yongmei Chen, Xumou Xu, Christopher Bencher, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . [7973-60]

Sidewall spacer quadruple patterning for 15-nm half-pitch, Ping Xu, Yongmei Chen, Liyan Miao, Shiyu Sun, Yijian Chen, Sung-Woo Kim, Ami Berger, Daxin Mao, Christopher Bencher, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-61]

Spacer-defi ned double patterning for sub-20-nm half-pitch single damascene structures, Janko Versluijs, Yong Kong Siew, Eddy Kunnen, Steven Demuynck, Patrick Wong, Vincent Wiaux, Harold Dekkers, IMEC (Belgium); Andrew Cockburn, Applied Materials Belgium (Belgium); Gerald Beyer, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . [7973-62]

Recessive self-aligned double patterning with gap-fi ll technology, Yijian Chen, Xumou Xu, Yongmei Chen, Liyan Miao, Hao Chen, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-63]

Simplifi ed self-aligned quadruple patterning toward half-pitch 10-nm patterning, Yijian Chen, Xumou Xu, Liyan Miao, Yongmei Chen, Hao Chen, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-64]

FreeForm and SMOSession Chairs: Sam Sivakumar, Intel Corp.;

Kafai Lai, IBM Corp.

Infl uence of the illumination source on model-based SRAF placement, Rachit Gupta, Aasutosh D. Dave, Edita Tejnil, Srividya Jayaram, Pat J. Lacour, Mentor Graphics Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-65]

Comparison of clear-fi eld and dark-fi eld images with optimized masks and illuminators, Robert Sinn, Luminescent Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-66]

Custom source and mask optimization for 20-nm SRAM and logic, Michael C. Smayling, Tela Innovations, Inc. (United States); Tamer H. Coskun, Vishnu Kamat, Cadence Design Systems, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-67]

Lithographic process improvement by FlexRay illuminator for memory application, Thomas Huang, Chun-Yen Huang, Nanya Technology Corp. (Taiwan); Tsann-Bim Chiou, ASML Taiwan Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-69]

Quantifi cation of the difference between sources by Zernike polynomial decomposition, Clovis Alleaume, Emek Yesilada, Vincent Farys, Yorick Trouiller, STMicroelectronics (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-70]

A simple method of source optimization for advanced NAND FLASH process, Yi-Shiang Chang, Powerchip Semiconductor Corp. (Taiwan); Satoshi Ogasawara, Koichi Fujii, Shigeru Hirukawa, Motokatsu Imai, Nikon Corp. (Japan); Wan-Lin Kuo, Chia-Chi Lin, Jun-Cheng Lai, Powerchip Semiconductor Corp. (Taiwan) . . . . . . . . . [7973-71]

Gradient-based fast source mask optimization (SMO), Jue-Chin Yu, Peichen Yu, National Chiao Tung Univ. (Taiwan) . . . . . . . . . . . . . . . . . . [7973-72]

6:00 pm: Beam shaping: top hat and customized intensity distributions for semiconductor manufacturing and inspection, Ansgar Teipel, Lutz Aschke, LIMO Lissotschenko Mikrooptik GmbH (Germany) . . . . . . . . . . . . . . . . . . . [7973-73]

Practical aspects of source and mask optimization for logic and embedded fl ash volume manufacturing, Vlad Temchenko, Chin-Teong Lim, Infi neon Technologies AG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7973-74]

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

Page 49: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 47

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Hierarchical kernel generation for SMO application, Charlie C. Chen, National Taiwan Univ. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-75]

Aberration-aware source and mask optimization to enhance the optical lithography performance, Bo Peng, Xiangzhao Wang, Zicheng Qiu, Shanghai Institute of Optics and Fine Mechanics (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-76]

LaserSession Chair: Nigel R. Farrar, Cymer, Inc.

Multiphoton micro/nanolithography technique with femtosecond laser direct-writing processing, Xuan-Ming Duan, Xian-Zi Dong, Zhen-Sheng Zhao, Technical Institute of Physics and Chemistry (China) . . . . . . . . . . . . . . . . . . . [7973-77]

High-range laser light-bandwidth measurement and tuning, Kevin O’Brien, Rui Jiang, Nora Han, Efrain Figueroa, Rajasekhar Rao, Robert J. Rafac, Cymer, Inc. (United States) . . . . . . . . . . . . [7973-78]

DUV-light source availability improvement via further enhancement of gas management technologies, Daniel J. Riggs, Kevin O’Brien, Daniel J. W. Brown, Cymer, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-79]

Scanner-Lithography OptimizationSession Chair: Pary Baluswamy, Micron

Technology, Inc.

Focus drilling for increased process latitude in high-NA immersion lithography, Ivan Lalovic, Jason Lee, Cymer, Inc. (United States); Hans van der Laan, Tom van der Hoeff, ASML Netherlands B.V. (Netherlands); Michiel Kupers, Cymer, Inc. (United States); Carsten Kohler, ASML Netherlands B.V. (Netherlands); Nigel R. Farrar, Cymer, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7973-80]

Compensation of mask-induced aberrations by projector wavefront control, Peter Evanschitzky, Feng Shao, Tim Fühner, Andreas Erdmann, Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany) . . . . . [7973-81]

Advanced scanner matching using freeform source and lens manipulators, Jong-Ho Lim, Kyung Kang, Sung-Man Kim, SAMSUNG Semiconductor (Korea, Republic of); Wenjin Shao, Fei Du, Zhengfan Zhang, Zongchang Yu, Brion Technologies, Inc. (United States); Seung-Hoon Park, ASML Korea Co., Ltd. (Korea, Republic of); Junwei Lu, Brion Technologies Co., Ltd. (China); Yu Cao, Brion Technologies, Inc. (United States); Chris K. Park, ASML Korea Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-82]

Measurement of wavefront distortions in DUV optics due to lens heating, Klaus Mann, Armin Bayer, Uwe Leinhos, Matthias Schöneck, Bernd Schäfer, Laser-Lab. Göttingen e.V. (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7973-83]

Improved immersion scanning speed using superhydrophobic surfaces, Arun Kumar Gnanappa, Evangelos Gogolides, Institute of Microelectronics (Greece); Fabrizio Evangelista, Michel Riepen, ASML (Netherlands) . . . . . [7973-84]

ArF scanning exposure tool using high-NA projection lens, Lifeng Duan, Jianrui Cheng, Gang Sun, Yonghui Chen, Shanghai Micro Electronics Equipment Co., Ltd. (China) . . . . . . . . . . . [7973-85]

Evaluation of different application cases for simulation-based scanner tuning, Karsten Bubke, GLOBALFOUNDRIES Dresden Module Two, GmbH & Co. KG (Germany); Matthias Ruhm, ASML Netherlands B.V. (Netherlands); Rafael Aldana, Brion Technologies (United States); Martin Niehoff, ASML Germany GmbH (Germany); Xu Xie, Justin Ghan, Brion Technologies, Inc. (United States); Paul van Adrichem, ASML Netherlands B.V. (Germany); Holger Bald, GLOBALFOUNDRIES Dresden Module Two, GmbH & Co. KG (Germany); Paul Luehrmann, ASML Netherlands B.V. (Netherlands); Stefan Roling, Rolf Seltmann, GLOBALFOUNDRIES Dresden Module Two, GmbH & Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . [7973 111]

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

Mask/Wafer Topography, Layout, and OPC

Session Chairs: Peter D. Buck, Toppan Photomasks, Inc.; Bruce W. Smith, Rochester

Institute of Technology

Challenge for compensating the mask topography effect by staged modeling method under the hyper-NA condition, Moon-Gyu Jeong, Won-Chan Lee, Jung-Hoon Ser, Young-Chang Kim, Seong-Woon Choi, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . [7973-87]

Large-scale model of wafer topography effects, Nikolay B. Voznesenskiy, Synopsys, Inc. (Estonia); Hans-Jürgen Stock, Bernd Küchler, Synopsys GmbH (Germany); Lars H. Bomholt, Jr., Synopsys Switzerland, LLC (Switzerland); Hua Song, James P. Shiely, Synopsys, Inc. (United States) . [7973-88]

Study of model-assisted rule base SRAF for random contact, James J. Moon, Byong-Sub Nam, Cheol-Kyun Kim, Hyoung-Soon Yune, Hynix Semiconductor Inc. (Korea, Republic of); Ji-Young Lee, Mentor Korea Co., Ltd. (Korea, Republic of); Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . [7973-89]

Development and evaluation of rigorous OPC using physical lithography simulation, Seong-Bo Shim, Seongho Moon, Jung-Hoon Ser, Young-Chang Kim, Seong-Woon Choi, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Bernd Küchler, Synopsys GmbH (Germany); Mun-hoe Do, Synopsys Korea Inc. (Korea, Republic of); Ulrich K. Klostermann, Thomas Schmöller, Synopsys GmbH (Germany); Soo-ryoung Lee, Synopsys Korea Inc. (Korea, Republic of) . [7973-90]

Mask data correction methodology in the context of model-based mask data preparation and advanced mask models, Christophe Pierrat, IC Images Technologies, Inc. (United States); Larry Chau, Ingo Bork, D2S, Inc. (United States) [7973-91]

Optimizing OPC data sampling based on “orthogonal vector space” for model calibration, Yuyang Sun, Yee Mei Foong, Shaowen Gao, Jacky Cheng, Dongqing Zhang, Nanshu Chen, Byoung-Il Choi, GLOBALFOUNDRIES Singapore (Singapore); Wenjin Shao, Antoine J. Bruguier, Mu Feng, Jianhong Qiu, Stefan Hunsche, Liang Liu, Brion Technologies, Inc. (United States). . . . . . . [7973-92]

Effect of pattern-image log slope on OPC model, Ki-Hyun Kim, Seong-Woon Choi, Jeong-Lim Nam, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . [7973-93]

Page 50: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

48 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Tolerance-based OPC and solution to MRC-constrained OPC, Yang Ping, Xiaohai Li, Stephen Jang, Denny Kwa, Yunqiang Zhang, Robert Lugg, Synopsys, Inc. (United States) . . . . . . . . . [7973-94]

Study of various RET for process margin improvement in 3x-nm dram contact, Hak-Yong Sim, Hyoung-Soon Yune, Yeong-Bae Ahn, James J. Moon, Byoung-Sub Nam, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-95]

A cost-driven fracture heuristics to minimize external sliver length, Xu Ma, Shangliang Jiang, Avideh Zakhor, Univ. of California, Berkeley (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-96]

A recursive cost-based approach to fracturing, Shangliang Jiang, Xu Ma, Avideh Zakhor, Univ. of California, Berkeley (United States) . . . . . . [7973-97]

A novel mask error modeling approach for OPC, Yongfa Fan, Jason H. Huang, Jing Xue, Brian S. Ward, Lena V. Zavyalova, Synopsys, Inc. (United States); Thomas Schmöller, Synopsys GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7973-98]

Full-chip OPC and verifi cation with a fast mask 3D model, Hsu-Ting Huang, Ali Mokhberi, Cadence Design Systems, Inc. (United States); Huixiong Dai, Christopher S. Ngai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-99]

Overcome the process limitation by using inverse lithography technology with assist feature, Yeon-Ah Shim, Sungho Jun, Jaeyoung Choi, Kwangseon Choi IV, Jae-won Han, Dongbu HiTek Co., Ltd. (Korea, Republic of) . . . . [7973-100]

Improvement on post-OPC verifi cation effi ciency for contact/via coverage check by fi nal CD biasing of metal lines and considering their location on the metal layout, Youngmi Kim, Jaeyoung Choi, Kwangseon Choi IV, Dongbu HiTek Co., Ltd. (Korea, Republic of); Jung-Hoe Choi, Synopsys Korea Inc. (Korea, Republic of) [7973-101]

Measurement of local pellicle thinning and line-width variation with different pellicle thicknesses, Jee-Hye You, Eun-Jin Kim, Hanyang Univ. (Korea, Republic of); Sungho Park, FST (Korea, Republic of); Ilsin An, Hye-Keun Oh, Hanyang Univ. (Korea, Republic of) . . . . [7973-102]

ModelingSession Chair: Andreas Erdmann,

Fraunhofer-Institut für Integrierte System und Bauelementetechnologie (Germany)

Performance of a bilinear photoresist model, Anatoly Y. Burov, Min Shi, Jiang Yan, Wenfeng Sun, Shanghai Micro Electronics Equipment Co., Ltd. (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-103]

Applications of an inverse Mack model for negative-tone development simulation, Weimin Gao, Synopsys GmbH (Germany) . . . . . . [7973-104]

A study of quantum lithography for diffraction limit, Sang-Kon Kim, Hanyang Univ. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . [7973-105]

Analytical equation for resolution of optical lithography with considering depth of focus, Masato Shibuya, Tokyo Polytechnic Univ. (Japan); Akira Takada, Topcon Corp. (Japan); Suezou Nakadate, Kouhei Nogami, Tokyo Polytechnic Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-106]

Tool and Process ControlSession Chair: Geert Vandenberghe, IMEC

(Belgium)

Lithography process control using focus and dose optimisation technique, Nicolas Spaziani, STMicroelectronics (France); René-Louis Inglebert, Commissariat à l’Énergie Atomique (France); Jean Massin, STMicroelectronics (France) . . . [7973-108]

Process window improvement in the 2x-nm node DRAM contact hole using full-chip level model-based assist feature technology, Sungwoo Ko, Sungjin Kim, Jongcheon Park, Byungug Cho, Jaeseung Choi, Cheol-Kyun Kim, Donggyu Yim, Sung-Ki Park, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . [7973-109]

Feasibility study on the mask compensation of gate CD non-uniformity caused by etching process, Wan Ho Kim, Ek Jen Yet, X-FAB Sarawak Sdn. Bhd. (Malaysia) . . . . . . . . . . . . . . . . [7973-110]

Study of air-bubble-induced defect in 193-nm immersion lithography, JungHo Kim, SeungYoung Seo, JungHwan Kim, JeongHyun Park, Hawk Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973 112]

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 51: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 49

The following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Conference 7974Design for Manufacturability through Design-Process Integration VSession Chair: Chul-Hong Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)The

following posters will be on display after 10:00 am on Wednesday. The interactive poster session with authors in attendance will be Wednesday evening from 6:00 to 8:00 pm.

Extending analog design scaling to sub-wavelength lithography: co-optimization of RET and photomasks, Ashesh Parikh, Siew-Tin L. Dorris, Thomas J. Smelko, Walter H. Walbrick, John Arch, Pushpa Mahalingam, Texas Instruments Inc. (United States); Kent G. Green, Vishal Garg, Peter D. Buck, Craig A. West, Toppan Photomasks, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-22]

Self-aligned double-patterning (SADP) friendly detailed routing, Minoo Mirsaeedi, Univ. of Waterloo (Canada); J. Andres Torres, Mentor Graphics Corp. (United States); Mohab Anis, The American Univ. in Cairo (Egypt) . . . . . . . . . [7974-23]

Partial least squares-preconditioned importance sampling for SRAM yield estimation, Yu Ben, Costas J. Spanos, Univ. of California, Berkeley (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-24]

Applications of DBV (design-based verifi cation) for steep ramp-up manufacture, Taeheon Kim, Daehan Han, Yong-Hyeon Kim, Yoon-Min Kim, Inho Nam, Kyung-Seok Oh, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . [7974-25]

Rerouting and guided-repair strategies to resolve lithography hotspots, Kai Peter, Reinhard März, Kay Engelhardt, Infi neon Technologies AG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7974-26]

Accurately predicting copper interconnect topographies in foundry design for manufacturability fl ows, Li-Fu Chang, Daniel Lu, Zhong Fan, Ki Duk Tak, Elain Zou, Jenny Jiang, Josh Yang, Semiconductor Manufacturing International Corp. (China); Kuang Han Chen, Philippe Hurat, Cadence Design Systems, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-27]

Lithography aware standard cell characterization, Hongbo Zhang, Yuelin Du, Martin D. F. Wong, Univ. of Illinois at Urbana-Champaign (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-28]

Effi cient approach to early detection of lithographic hotspots using machine learning systems and pattern matching, Jen-Yi Wuu, Univ. of California, Santa Barbara (United States); Fedor G. Pikus, Mentor Graphics Corp. (United States); Malgorzata Marek-Sadowska, Univ. of California, Santa Barbara (United States) . . . . . . . . . . [7974-29]

Fast process-hotspot detection using compressed patterns, Peter L. Rezk, Mentor Graphics Egypt (Egypt); Wael El Manhawy, Mentor Graphics Corp. (United States) . . . . . . . . . [7974-30]

32-nm CMP model development and application to design optimization, Naya Ha, Jinwoo Lee, Seung Weon Paek, Kee Sup Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Kuang Han Chen, Aaron Gower-Hall, Tamba Gbondo-Tugbawa, Philippe Hurat, Cadence Design Systems, Inc. (United States) . . . . . . . . . . [7974-31]

Hotspot detection using image pattern recognition based on higher-order local auto-correlation, Shimon Maeda, Tetsuaki Matsunawa, Toshiba Materials Co., Ltd. (Japan); Ryuji Ogawa, Hirotaka Ichikawa, Toshiba Microelectronics Corp. (Japan); Kazuhiro Takahata, Masahiro Miyairi, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Toshiba Materials Co., Ltd. (Japan); Kei Nakagawa, Tamaki Saito, Toshiba Microelectronics Corp. (Japan); Shoji Mimotogi, Soichi Inoue, Toshiba Materials Co., Ltd. (Japan); Hirokazu Nosato, Hidenori Sakanashi, Takumi Kobayashi, Masahiro Murakawa, Tetsuya Higuchi, Eiichi Takahashi, Nobuyuki Otsu, National Institute of Advanced Industrial Science and Technology (Japan) [7974-32]

The effective etch process proximity correction methodology for improving on chip CD variation in 20-nm node DRAM gate, Jeong-Geun Park, Hanyang Univ. (Korea, Republic of); Sang-wook Kim, Seong-Bo Shim, Sungsoo Suh, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Hye-Keun Oh, Hanyang Univ. (Korea, Republic of) . . [7974-33]

Defect-aware reticle fl oorplanning for EUV masks, Abde Ali H. Kagalwalla, Puneet Gupta, Univ. of California, Los Angeles (United States); Duck-Hyung Hur, Chul-Hong Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . [7974-35]

Standard cell electrical and physical variability analysis based on automatic physical measurement for design-for-manufacturing purposes, Shimon Levi, Applied Materials (Israel); Eitan N. Shauly, Tower Semiconductor Ltd. (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-36]

Aerial image retargeting (AIR): achieving litho-friendly designs, Ayman M. Yehia Hamouda, Mentor Graphics Egypt (Egypt); James C. Word, Mentor Graphics Corp. (United States); Mohab Anis, The American Univ. in Cairo (Egypt); Karim S. Karim, Univ. of Waterloo (Canada) . . . . . . [7974-37]

Timing variability mitigation for layout-dependent-effects in 28-nm custom and standard cell-based designs, Rasit O. Topaloglu, Sriram Madhavan, Luigi Capodieci, GLOBALFOUNDRIES Inc. (United States); Philippe Hurat, Ramez Nachman, Jac P. Condella, Cadence Design Systems, Inc. (United States) . . . . [7974-38]

Statistical approach to specify DPT process in terms of patterning and electrical performance of sub-30-nm DRAM device, Yu-Jin Pyo, Soo-Han Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . [7974-39]

Wednesday 2 March — Poster Sessions — Conv. Ctr. Exhibit Hall 2 — 6:00 to 8:00 pm

Page 52: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

50 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Thursday 3 MarchSESSION 11

Room: Conv. Ctr. Ballroom BThurs. 8:00 to 10:40 am

Invited Session II8:00 am: Performance validation of ASML’s NXE:3100 (Invited Paper), Christian Wagner, Noreen Harned, Erik Loopstra, Hans Meiling, David C. Ockwell, Rudy Peeters, Eelco van Setten, Judon M. D. Stoeldraijer, Stuart Young, John D. Zimmerman, Ivo de Jong, Stef Hendriks, Ron Kool, ASML Netherlands B.V. (Netherlands); Martin Lowisch, Carl Zeiss SMT AG (Germany); Jose Bacelar, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . [7969-49]

8:40 am: Tin DPP source collector module (SoCoMo) ready for integration into Beta scanner (Invited Paper), Masaki Yoshioka, XTREME technologies GmbH (Germany); Yusuke Teramoto, EUVA (Japan); Jeroen Jonkers, Max C. Schuermann, Rolf Apetz, Volker Kilian, Marc Corthout, XTREME technologies GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [7969-50]

9:20 am: LPP source system development for HVM (Invited Paper), David C. Brandt, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, Dave W. Myers, Daniel J. W. Brown, Richard L. Sandstrom, Bruno M. La Fontaine, Alexander N. Bykanov, Norbert R. Bowering, Oleh V. Khodykin, Cymer, Inc. (United States) . . . . . . . . . . . . [7969-51]

10:00 am: Extendibility of EUV lithography toward 1X-nm hp generation (Invited Paper), Suigen Kyoh, Yumi Nakajima, Tsubasa Imamura, Toshiyuki Sasaki, Mitsuhiro Omura, Toshiba Materials Co., Ltd. (Japan); Kazuo Tawarayama, Kentaro Matsunaga, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7969-52]

Coffee Break . . . . . . . . . . . . . . . . 10:40 to 11:00 am

Thursday 3 MarchSESSION 12

Room: Conv. Ctr. Ballroom A1Thurs. 8:20 to 10:00 am

OverlaySession Chairs: Richard M. Silver, National

Institute of Standards and Technology; Shaunee Y. Cheng, IMEC (Belgium); John A. Allgair, GLOBALFOUNDRIES Inc. (Germany)

8:20 am: Overlay improvement roadmap: strategies for scanner control and product disposition for 5-nm overlay, Nelson M. Felix, IBM Corp. (United States) . . . . . . . . . . . . . . . . . [7971-47]

8:40 am: Accuracy of scatterometry overlay and image-based overlay, Chih-Ming Ke, Guo-Tsai Huang, Jacky Huang, Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Co. Ltd.(Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-49]

9:00 am: Investigation on accuracy of process overlay measurement, Chan Hwang, Jeongjin Lee, Seungyoon Lee, Jeong-Ho Yeo, Chang-Jin Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Dong-Sub Choi, KLA-Tencor Korea (Korea, Republic of); HongMeng Lim, KLA-Tencor Singapore (Singapore) . . . . . . . . . . . . . . . . [7971-50]

9:20 am: Improved overlay control using robust fl yer-removal for backend layers, John C. Robinson, Osamu Fujita, Hiroyuki Kurita, Pavel Izikson, KLA-Tencor Corp. (United States) [7971-51]

9:40 am: Wafer-quality analysis of various scribe line-mark designs, Jianming Zhou, Craig Hickman, Yuan He, Scott L. Light, Anton J. Devilliers, Micron Technology, Inc. (United States) . . . . . . . . [7971-52]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:30 am

Thursday 3 MarchSESSION 9

Room: Conv. Ctr. Ballroom CThurs. 8:00 to 9:50 am

Nanoimprint Lithography III: Novel NIL Applications

Session Chairs: Tatsuhiko Higashiki, Toshiba Corp. (Japan); Kevin T. Turner, Univ. of

Wisconsin-Madison

8:00 am: Nanoelectronic, nanophotonic, and chemical sensing devices fabricated by nanoimprint (Invited Paper), Wei Wu, Qiangfei Xia, Min Hu, Fung-Suong Ou, Jianhua Yang, Hewlett-Packard Labs. (United States); William M. Tong, Hewlett-Packard Co. (United States); Alexandre M. Bratkovsky, Zhiyong Li, Hewlett-Packard Labs. (United States); Gilberto Medeiros-Ribeiro, Hewlett-Packard Co. (United States); Shih-Yuan Wang, R. Stanley Williams, Hewlett-Packard Labs. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-38]

8:30 am: Wafer-level fabrication of distributed feedback laser diodes by utilizing UV nanoimprint lithography, Masaki Yanagisawa, Yukihiro Tsuji, Hiroyuki Yoshinaga, Naoya Kouno, Kenji Hiratsuka, Sumitomo Electric Industries, Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-39]

8:50 am: Fabrication of hole pattern for position-controlled MOVPE grown GaN nanorods with highly precise nanoimprint technology, Torbjörn Eriksson, Ki-Dong Lee, Babak Heidari, OBDUCAT AB (Sweden); Patrick Rode, Werner Bergbauer, Martin Strassburg, Martin Mandl OSRAM Opto Semiconductors GmbH (Germany) . . . . . . [7970-40]

9:10 am: Adaptation of roll to roll imprint lithography: from fl exible electronics to structural templates, Edward R. Holland, Albert Jeans, Ping Mei, Carl P. Taussig, Richard E. Elder, Hewlett-Packard Labs. (United States); Cynthia S. Bell, Emmett Howard, John Stowell, Arizona State Univ. (United States) . . . . . . . . . . . . . . . . . [7970-41]

9:30 am: Development and characterization of carbon nanotubes processes for NRAM technology, Gilles Amblard, Nantero, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-42]

Coffee Break . . . . . . . . . . . . . . . . . 9:50 to 10:20 am

Thursday 3 March

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7969 continues on page 52.

Conference 7970 continues on page 62.

Conference 7971 continues on page 62.

Page 53: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 51

Thursday 3 MarchSESSION 11

Room: Conv. Ctr. Ballroom A2Thurs. 8:00 to 10:00 am

Mask and Layout OptimizationSession Chairs: Bruce W. Smith, Rochester

Institute of Technology; Kazuhiro Takahashi, Canon Inc. (Japan)

8:00 am: Ground rule considerations for the 20-nm logic node, Will Conley, Freescale Semiconductor, Inc. (United States) . . . . . [7973-38]

8:20 am: Optical lithography applied to 20-nm CMOS logic and SRAM, Valery Axelrad, Sequoia Design Systems, Inc. (United States); Michael C. Smayling, Tela Innovations, Inc. (United States); Koichiro Tsujita, Kazuhiro Takahashi, Canon Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-39]

8:40 am: 3D litho modeling for ground rule development, Chandra Sarma, Infi neon Technologies North America Corp. (United States); Todd C. Bailey, Adam Lyons, Dongbing Shao, IBM Corp. (United States) . . . . . . . . . . . . . . . . . [7973-40]

9:00 am: Mask enhancer technology with source mask optimization (SMO) for 2x-nm node logic layout gate fabrication, Takashi Matsuda, Shigeo Irie, Tadami Shimizu, Takashi Yuito, Yuuji Nonami, Yasuko Tabata, Akio Misaka, Taichi Koizumi, Masaru Sasago, Semiconductor Co., Panasonic Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7973-41]

9:20 am: Evaluation of a new mask topography model, Christophe Pierrat, IC Images Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . [7973-42]

9:40 am: High-performance intensity slope correction method for global process variability band improvement and printability enhancement in RET applications, Sergiy M. Komirenko, Mentor Graphics Corp. (United States) . . . . . . . . . [7973-43]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:30 am

Thursday 3 MarchSESSION 2

Room: Conv. Ctr. Ballroom A4Thurs. 8:00 to 10:00 am

DesignSession Chairs: Robert Aitken, ARM Inc.;

Larry Pileggi, PDF Solutions, Inc.

8:00 am: Using templates and connectors for layout pattern minimization in 20 nm and below technology nodes, Tejas Jhaveri, Andrzej J. Strojwas, PDF Solutions, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-04]

8:20 am: Lithographic variation aware design centering for SRAM yield enhancement, Kanak B. Agarwal, IBM Austin Research Lab. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-05]

8:40 am: Multi-selection method for physical design verifi cation applications, Salma S. Mostafa, Peter L. Rezk, Kareem Madkour, Mentor Graphics Egypt (Egypt); J. Andres Torres, Mentor Graphics Corp. (United States) . . . . . . . . . [7974-06]

9:00 am: Applying litho-aware timing analysis to hold time fi xing reduces design cycle time and power dissipation, Keisuke Hirabayashi, Naohiro Kobayashi, Hideki Mizuno, Renesas Electronics Corp. (Japan); Philippe Hurat, Cadence Design Systems, Inc. (United States); Koichi Seki, Cadence Design Systems, Inc. (Japan) . . . . . . . . . . [7974-07]

9:20 am: Lithography aware design optimization, Jaeyoon Jeong, Seokyun Jeong, Changhoon Ahn, Yongsun Jang, Sukjoo Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Dong-Hwan Son, Tatung Chow, Xin Zhou, Thomas Cecil, David H. Kim, Ki-Ho Baik, Luminescent Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-08]

9:40 am: Is manufacturability with double patterning a burden on designer? analyses of device and circuit aspects, Rasit O. Topaloglu, GLOBALFOUNDRIES Inc. (United States) [7974-09]

Coffee Break . . . . . . . . . . . . . . . . 10:00 to 10:30 am

Conference 7974

Design for Manufacturability through Design-Process Integration V

Thursday 3 March

Conference 7973

Optical Microlithography XXIV

Conference 7973 continues on page 63.

Conference 7974 continues on page 63.

Page 54: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

52 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 13Room: Conv. Ctr. Ballroom A1

Thurs. 10:30 to 11:50 am

X-ray and Novel MethodsSession Chairs: Richard M. Silver, National

Institute of Standards and Technology; David C. Joy, The Univ. of Tennessee

10:30 am: Application of small angle x-ray scattering to characterize cross sections of nanoscale line gratings on silicon wafers: comparison of grazing incident measurements with transmission measurements, Wen-Li Wu, National Institute of Standards and Technology (United States); Benjamin D. Bunday, International SEMATECH Manufacturing Initiative (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-53]

10:50 am: Critical dimension measurement of line gratings using specular x-ray refl ectivity: the coherence length effect, Hae-Jeong Lee, Christopher L. Soles, Wen-li Wu, National Institute of Standards and Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7971-54]

11:10 am: EUV-resist metrology with the scanning helium ion microscope (HIM): benefi ts and limitations, Diederik J. Maas, Emile van Veldhoven, TNO (Netherlands); Jeroen Meessen, ASML Netherlands B.V. (Netherlands) . . . [7971-55]

11:30 am: Through-silicon via etch depth metrology for 3D integration, Andrew C. Rudack, SEMATECH North (United States); Hiroto Nozawa, Osamu Sato, Hideo Takizawa, Koji Miyazaki, Hal Kusonose, Lasertec Corp. (Japan); Hiroyuki Nishino, Lasertec USA, Inc. (Japan) . . . . . [7971-56]

Lunch Break . . . . . . . . . . . . . . 11:50 am to 1:40 pm

SESSION 10Room: Conv. Ctr. Ballroom CThurs. 10:20 am to 12:10 pm

Maskless Lithography IIISession Chairs: Lloyd C. Litt, SEMATECH

Inc.; Hans Loeschner, IMS Nanofabrication AG (Austria)

10:20 am: New advances with REBL for maskless high-throughput EBDW lithography (Invited Paper), Paul Petric, Chris Bevis, Mark A. McCord, Allen Carroll, Alan D. Brodie, Upendra Ummethala, Luca Grella, Regina Freed, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . [7970-43]

10:50 am: Large-scale eRIF implementation for sub-22-nm e-beam lithography, Luc Martin, Lab. d’Electronique de Technologie de l’Information (France); Serdar Manakli, Sébastien Bayle, ASELTA Nanographics (France); Kang-Hoon Choi, Manuela S. Gutsch, Fraunhofer-Ctr. Nanoelektronische Technologien (Germany); Laurent Pain, Lab. d’Electronique de Technologie de l’Information (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-44]

11:10 am: Demonstration of real-time pattern correction for high-throughput maskless lithography, Marco J. Wieland, Ton van de Peut, Martijn Sanderse, MAPPER Lithography (Netherlands); Edwin Hakkennes, Nol Venema, Ard Wiersma, Mark Hoving, Sijmen Woutersen, Technolution B.V. (Netherlands) . . . . . . . . [7970-45]

11:30 am: EBPC for multibeams low-kV electron projection lithography, Jérôme Belledent, Sebastien Soulan, Laurent Pain, Commissariat à l’Énergie Atomique (France) . . . . . . . . . . . [7970-46]

11:50 am: Fast characterization of line-end shortening and application of novel LES correction algorithms in e-beam direct write, Martin Freitag, Manuela S. Gutsch, Kang-Hoon Choi, Christoph K. Hohle, Fraunhofer-Ctr. Nanoelektronische Technologien (Germany); Michael Krüger, EQUIcon Software GmbH Jena (Germany); Ulf Weidenmüller, Vistec Electron Beam GmbH (Germany) . . . . . . . . . . . . . . . . . . . [7970-47]

Lunch Break . . . . . . . . . . . . . . . . . 12:10 to 1:20 pm

SESSION 12Room: Conv. Ctr. Ballroom BThurs. 11:00 am to 12:20 pm

Devices11:00 am: CD correction for half-pitch 2x-nm on extreme ultraviolet lithography, Hajime Aoyama, Yuusuke Tanaka, Kazuo Tawarayama, Yukiyasu Arisawa, Taiga Uno, Takashi Kamo, Toshihiko Tanaka, Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . [7969-53]

11:20 am: Patterning challenges in setting up a 16-nm node 6T-SRAM device using EUV lithography, Tom Vandeweyer, Johan De Backer, Janko Versluijs, Vincent P. Truffert, Staf Verhaegen, Monique Ercken, IMEC (Belgium); Mircea V. Dusa, ASML US, Inc. (United States) . . . . . . . . . [7969-54]

11:40 am: Availability of 2x-nm devices using EUV tool, Kazuo Tawarayama, Hajime Aoyama, Kentaro Matsunaga, Shunko Magoshi, Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan); Suigen Kyoh, Yumi Nakajima, Ryoichi Inanami, Satoshi Tanaka, Toshiba Materials Co., Ltd. (Japan); Yukiyasu Arisawa, Toshiba Corp. (Japan); Taiga Uno, Yukiko Kikuchi, Daisuke Kawamura, Kosuke Takai, Koji Murano, Toshiba Materials Co., Ltd. (Japan) . . . . . . . . . . . . [7969-55]

12:00 pm: Progress of EUV lithography toward adoption for manufacturing, Jan V. Hermans, David Laidler, IMEC (Belgium); Oleg Voznyi, Andre van Dijk, Charles Pigneret, Mircea V. Dusa, ASML Netherlands B.V. (Netherlands); Shaunee Y. Cheng, Eric Hendrickx, IMEC (Belgium) . . . . . . . . [7969-56]

Conference End

Thursday 3 March

Conference 7969

Extreme Ultraviolet (EUV) Lithography II

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7970 continues on page 54.

Conference 7971 continues on page 55.

Page 55: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 53

SESSION 3Room: Conv. Ctr. Ballroom A2Thurs. 10:30 am to 12:10 pm

Optical/DFM: Joint Session with Conference 7973

Session Chairs: Mircea V. Dusa, ASML US, Inc.; Luigi Capodieci, GLOBALFOUNDRIES

Inc.

10:30 am: Evaluation of a new fast resist model: the Gaussian LPM, Chris A. Mack, Lithoguru.com (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-10]

10:50 am: Methodology for balancing design and process trade-offs for deep-sub-wavelength technologies, Ioana C. Graur, Deborah Ryan, Chidambarrao Dureseti, Tina Wagner, IBM Corp. (United States); Anand Kumaraswamy, IBM India Private Ltd. (India); Jeanne Bickford, Mark Styduhar, Lijiang L. Wang, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-11]

11:10 am: Contact patterning strategies for 32- and 28-nm technology, Bradley Morgenfeld, Jujin An, Ian Stobert, Massud Aminpur, IBM Corp. (United States); Norman Chen, GLOBALFOUNDRIES Inc. (United States); Hideki Kanai, Toshiba America Electronic Components, Inc. (Japan); Alan Thomas, Colin Brodsky, IBM Corp. (United States) . . . . . . . . . . . . . . . . . [7973-44]

11:30 am: Comparing double-patterning methods for logic design routing, Yuangsheng Ma, Yunfei Deng, Hidekazu Yoshida, Jongwook Kye, Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States); Jason Sweis, Cadence Design Systems, Inc. (United States); Christopher Bencher, Huixiong Dai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-12]

11:50 am: Single-exposure contacts are dead: long live single-exposure contacts, Henning Haffner, Martin Ostermayr, Infi neon Technologies North America Corp. (United States); Hideki Kanai, Toshiba America Electronic Components, Inc. (United States); Chan Sam Chang, Samsung Semiconductor, Inc. (United States); Bradley Morgenfeld, Jujin An, IBM Corp. (United States); Haoren Zhuang, Infi neon Technologies North America Corp. (United States) . . . . . . . . . . [7974-13]

Lunch Break . . . . . . . . . . . . . . . . . 12:10 to 2:00 pm

SESSION 12Room: Conv. Ctr. Ballroom A2Thurs. 10:30 am to 12:10 pm

Optical/DFM: Joint Session with Conference 7974

Session Chairs: Mircea V. Dusa, ASML US, Inc.; Michael L. Rieger, Synopsys, Inc.

10:30 am: Evaluation of a new fast resist model: the Gaussian LPM, Chris A. Mack, Lithoguru.com (United States) . . . . . . . . . . . . . . . . . . . . . . [7974-10]

10:50 am: Methodology for balancing design and process trade-offs for deep-sub-wavelength technologies, Ioana C. Graur, Deborah Ryan, Chidambarrao Dureseti, Tina Wagner, IBM Corp. (United States); Anand Kumaraswamy, IBM India Private Ltd. (India); Jeanne Bickford, Mark Styduhar, Lijiang L. Wang, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-11]

11:10 am: Contact patterning strategies for 32- and 28-nm technology, Bradley Morgenfeld, Jujin An, Ian Stobert, Massud Aminpur, IBM Corp. (United States); Norman Chen, GLOBALFOUNDRIES Inc. (United States); Hideki Kanai, Toshiba America Electronic Components, Inc. (Japan); Alan Thomas, Colin Brodsky, IBM Corp. (United States) . . . . . . . . . . . . . . . . . [7973-44]

11:30 am: Comparing double-patterning methods for logic design routing, Yuangsheng Ma, Yunfei Deng, Hidekazu Yoshida, Jongwook Kye, Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States); Jason Sweis, Cadence Design Systems, Inc. (United States); Christopher Bencher, Huixiong Dai, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-12]

11:50 am: Single-exposure contacts are dead: long live single-exposure contacts, Henning Haffner, Martin Ostermayr, Infi neon Technologies North America Corp. (United States); Hideki Kanai, Toshiba America Electronic Components, Inc. (United States); Chan Sam Chang, Samsung Semiconductor, Inc. (United States); Bradley Morgenfeld, Jujin An, IBM Corp. (United States); Haoren Zhuang, Infi neon Technologies North America Corp. (United States) . . . . . . . . . . [7974-13]

Lunch Break . . . . . . . . . . . . . . . . . 12:10 to 1:10 pm

Conference 7974

Design for Manufacturability through Design-Process Integration V

Thursday 3 March

Conference 7973

Optical Microlithography XXIV

Conference 7973 continues on page 55.Conference 7974 continues on page 55.

Page 56: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

54 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 11Room: Conv. Ctr. Ballroom C

Thurs. 1:20 to 3:10 pm

Novel Applications IISession Chairs: Cynthia Hanson, Space and Naval Warfare Systems Ctr. Pacifi c; Kevin T.

Turner, Univ. of Wisconsin-Madison

1:20 pm: Formation of nanochannel silicate networks via nanoimprint lithography (Invited Paper), Kenneth R. Carter, Univ. of Massachusetts Amherst (United States) . . . . . . . . . . . . . . [7970-48]

1:50 pm: Self-assembly of nanocrystal superlattice fi lms on a liquid surface, Angang Dong, Lawrence Berkeley National Lab. (United States); Christopher B. Murray, Univ. of Pennsylvania (United States) . . . . . . . . . . . [7970-49]

2:10 pm: Nanopatterning of diblock copolymer directed self-assembly lithography with wet development, Makoto Muramatsu, Mitsuaki Iwashita, Takahiro Kitano, Takayuki Toshima, Tokyo Electron Kyushu Ltd. (Japan); Yuriko Seino, Daisuke Kawamura, Masahiro Kanno, Katsutoshi Kobayashi, Tsukasa Azuma, Toshiba Corp. (Japan) . . [7970-50]

2:30 pm: Fabrication of novel 3D structures via nano-indentation proximity fi eld nanopatterning (NI-PnP), Sidhartha Gupta, Andrew T. Gardner, William P. King, Univ. of Illinois at Urbana-Champaign (United States); Pierre Wiltzius, Univ. of California, Santa Barbara (United States); Paul V. Braun, Univ. of Illinois at Urbana-Champaign (United States) . . . . . . . . . . . . . . . . . . . . . . [7970-51]

2:50 pm: Double and triple exposure with image reversal in a single photoresist layer, Coumba Ndoye, Marius Orlowski, Virginia Polytechnic Institute and State Univ. (United States) . . [7970-52]

Coffee Break . . . . . . . . . . . . . . . . . . 3:10 to 3:40 pm

SESSION 14Room: Conv. Ctr. Ballroom A1

Thurs. 1:40 to 3:00 pm

Lithography Process ControlSession Chairs: Shaunee Y. Cheng, IMEC (Belgium); Ofer Adan, Applied Materials

(Israel); Byoung-Ho Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

1:40 pm: Overlay and focus stability control for sub-3x-nm nodes on immersion scanners, Guo-Tsai Huang, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . [7971-57]

2:00 pm: Toward 22 nm: fast and effective reticle CD monitoring and minimizing the impact of reticle on intrafi eld CDU and process window, Ilan Englard, Applied Materials BV (Netherlands); Jo M. Finders, Roel Knops, Frank Duray, Ingrid Minnaert-Janssen, ASML Netherlands B.V. (Netherlands); Amir Sagiv, Shmoolik Mangan, Yaron Cohen, Michael Ben Yishay, Applied Materials (Israel); Huixiong Dai, Christopher S. Ngai, Kfi r Dotan, Christopher Bencher, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7971-58]

2:20 pm: Automatic optimization of metrology sampling scheme for advanced process control, Chuei-Fu Chue, Chun-Yen Huang, Nanya Technology Corp. (Taiwan); Albert C. Li, Tsann-Bim Chiou, ASML Taiwan Ltd. (Taiwan) . . . . . . [7971-60]

3:00 pm: CD uniformity improvement of through-pitch contact hole patterning for advanced logic devices, Takaaki Kuribayashi, Yoshinori Matsui, Kazuyuki Yoshimochi, Seiji Nagahara, Masaharu Takizawa, Takayuki Uchiyama, Renesas Electronics Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7971-62]

Coffee Break . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

Thursday 3 March

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Conference 7970 continues on page 56.Conference 7971 continues on page 56.

Get the training you need to stay ahead of the technology curve.Register for Courses at the registration desk.

Page 57: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 55

Room: Conv. Ctr. Ballroom A2Thurs. 1:10 to 1:20 pm

Best Student Paper AwardThis Award was generously sponsored by

SESSION 13Room: Conv. Ctr. Ballroom A2

Thurs. 1:20 to 3:00 pm

Source and Mask Optimization IISession Chairs: Geert Vandenberghe, IMEC

(Belgium); Kafai Lai, IBM Corp.

1:20 pm: Polarization holograms for source-mask optimization, Tom D. Milster, Hannah D. Noble, Erin L. Ford, College of Optical Sciences, The Univ. of Arizona (United States); William J. Dallas, The Univ. of Arizona (United States); Russell A. Chipman, College of Optical Sciences, The Univ. of Arizona (United States); Isao Matsubara, Yasuyuki Unno, Canon U.S.A., Inc. (United States); Stephen C. McClain, Pramod K. Khulbe, Wai Sze Lam, Delbert Hansen, College of Optical Sciences, The Univ. of Arizona (United States) . . . . . [7973-45]

1:40 pm: Extending SMO into the lens pupil domain, Monica L. Kempsell Sears; Bruce W. Smith, Rochester Institute of Technology (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7973-46]

2:00 pm: Production-ready full-chip mask synthesis using inverse lithography technology, Thomas Cecil, Christopher Ashton, Bob Gleason, David L. Irby, David H. Kim, Hong Li, Lan Luan, Dong-Hwan Son, Y. C. Wei, Youngtag Woo, Guangming Xiao, Xin Zhou, Luminescent Technologies, Inc. (United States). . . . . . . [7973-47]

2:20 pm: A numerical continuation approach to inverse lithography, Frank Liu, IBM Austin Research Lab. (United States); Xiaokang Shi, The Univ. of Texas at Austin (United States) . . [7973-48]

2:40 pm: A study of source mask optimization for logic device through experiment and simulations, Hyo Chan Kim, Yun Kyeong Jang, Dong-Woon Park, Jong-Chan Shin, Yong-Kug Bae, Siyoung Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . [7973-49]

Coffee Break . . . . . . . . . . . . . . . . . . 3:00 to 3:30 pm

SESSION 4Room: Conv. Ctr. Ballroom A4

Thurs. 2:00 to 3:20 pm

ManufacturingSession Chairs: Lars W. Liebmann, IBM

Corp.; Vivek K. Singh, Intel Corp.

2:00 pm: Integrated model-based retargeting and optical proximity correction, Shayak Banerjee, Kanak B. Agarwal, IBM Austin Research Lab. (United States) . . . . . . . . . . . . . . . . . . [7974-14]

2:20 pm: Validation of process cost-effective layout refi nement utilizing design intent, Sachiko Kobayashi, Toshiba Materials Co., Ltd. (Japan); Atsuhiko Ikeuchi, Kazunari Kimura, Toshiba Corp. (Japan); Satoshi Tanaka, Suigen Kyoh, Shimon Maeda, Soichi Inoue, Toshiba Materials Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-15]

2:40 pm: New double-patterning technology for direct contact of sub-30-nm device considering patterning margin and electrical performance, Soo-Han Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . [7974-16]

3:00 pm: Performance and manufacturability trade-offs of pattern minimization for sub-22-nm technology nodes, Vyacheslav V. Rovner, Tejas Jhaveri, Carnegie Mellon Univ. (United States) and PDF Solutions, Inc. (United States); Daniel Morris, Carnegie Mellon Univ. (United States); Andrzej J. Strojwas, Carnegie Mellon Univ. (United States) and PDF Solutions, Inc. (United States); Larry Pileggi, Carnegie Mellon Univ. (United States) . . . [7974-17]

Coffee Break . . . . . . . . . . . . . . . . . . 3:20 to 3:50 pm

Conference 7974

Design for Manufacturability through Design-Process Integration V

Thursday 3 March

Conference 7973

Optical Microlithography XXIV

Conference 7973 continues on page 57.

Conference 7974 continues on page 57.

Room: Conv. Ctr. Ballroom A2Thurs. 1:10 to 1:20 pm

Best Student Paper AwardThis Award was generously sponsored by

SESSION 13

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 58: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Advanced Lithography 2011

56 SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

SESSION 12Room: Conv. Ctr. Ballroom C

Thurs. 3:40 to 5:30 pm

Cross-Cutting TechnologiesSession Chairs: Daniel J. C. Herr,

Semiconductor Research Corp.; Cynthia Hanson, Space and Naval Warfare Systems

Ctr. Pacifi c

3:40 pm: The effect of wear of atomic force microscope probes in scanning probe-based nanolithography (Invited Paper), Kevin T. Turner, David S. Grierson, Jingjing Liu, Kumar Sridharan, Univ. of Wisconsin-Madison (United States); Robert W. Carpick, Univ. of Pennsylvania (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-53]

4:10 pm: Molecular models for study of directed assembly with copolymers: method and applications, Umang Nagpal, Abelardo R. Hernandez, Huiman Kang, Shengxiang Ji, Paul F. Nealey, Juan J. de Pablo, Univ. of Wisconsin-Madison (United States) . . . . . . . . . . . . . . [7970-69]

4:30 pm: Tunable two-mirror laser interference lithography system for large-area nanopatterning, Weidong Mao, Ishan Wathuthanthri, Chang-Hwan Choi, Stevens Institute of Technology (United States) . . . . . . . . . . [7970-54]

4:50 pm: Solid-immersion Lloyd’s mirror as a testbed for plasmon-enhanced high-NA lithography, Prateek Mehrotra, Richard J. Blaikie, Univ. of Canterbury (New Zealand) . . . . . . [7970-55]

5:10 pm: Soft UV-NIL at the 12.5-nm scale, Gerald Kreindl, Michael Kast, Dominik Treiblmayr, Thomas Glinsner, EV Group (Austria); Ronald J. Miller, EV Group Inc. (United States); Elmar Platzgummer, Hans Loeschner, Peter Joechl, Stefan Eder-Kapl, IMS Nanofabrication AG (Austria); Michael Mühlberger, Iris Bergmair, M. Boehm, Rainer Schoeftner, PROFACTOR GmbH (Austria); S. Mikihiko, AGC Electronics Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7970-57]

Conference End

Thursday 3 March

Conference 7970

Alternative Lithographic Technologies III

Conference 7971

Metrology, Inspection, and Process Control for Microlithography XXV

Room: Conv. Ctr. Ballroom A1Thurs. 3:50 to 5:10 pm

Reference Metrology Workshop

Workshop Chairs: András E. Vladár, National Institute of Standards

and Technology; Walter D. Mieher, KLA-Tencor Corp.

Is Our Patterning Metrology Running Out of Steam?

One could argue that technologies are continually “running out of steam” as older technologies are continuously replaced by new technologies. While this simplistic view may apply to consumer electronics, is there a breakdown of this model for patterning metrology technology?

This workshop attempts to explore some key aspects related to this notion.• Is metrology progress keeping up with the

roadmap?• Is measurement technology getting push-

back from fundamental physics limitations?• Does today’s metrology meet your needs for

process control?• Does today’s metrology enable and support

your technology development?• What important gaps need to be

addressed to support current and future nanoelectronics technology development and process control?

• Is accuracy important for semiconductor and nanotechnology?

We will discuss the problems confronting metrologists today and the not-too-distant future. Please bring YOUR concerns, thoughts and ideas to contribute to the discussion.

Conference End

DigitalDigitalSPIE

LibraryLibrary

SPIEDigitalLibrary.org

Find the answer

Page 59: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technical Conferences

SPIE Advanced Lithography 2011 · spie.org/aladvance · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 57

SESSION 14Room: Conv. Ctr. Ballroom A2

Thurs. 3:30 to 5:30 pm

ToolsSession Chairs: Sam Sivakumar, Intel Corp.;

Soichi Owa, Nikon Corp. (Japan)

3:30 pm: Practical performance and its enabling technologies in immersion scanners for the double-patterning generation, Yuichi Shibazaki, Hirotaka Kohno, Jun Ishikawa, Shinji Sato, Junichi Kosugi, Nikon Corp. (Japan) . . . . . . . . . . . [7973-50]

3:50 pm: Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner, Frank Staals, Paul van Adrichem, Pär Broman, David Deckers, Jo M. Finders, Jan-Willem Gemmink, Carsten Kohler, Jan Mulkens, Rob Willekers, ASML Netherlands B.V. (Netherlands); Toralf Gruner, Carl Zeiss SMT AG (Germany); Rafael Howell, Youping Zhang, Brion Technologies, Inc. (United States). . . . . . . [7973-51]

4:10 pm: Pupilgram adjusting scheme using intelligent illuminator for ArF immersion exposure tool, Tomoyuki Matsuyama, Naonori Kita, Yasushi Mizuno, Nikon Corp. (Japan) [7973-52]

4:30 pm: Scanner matching for standard and freeform illumination shapes using FlexRay, Joost P. Bekaert, ASML Netherlands B.V. (Belgium); Lieve Van Look, Bart Laenens, Geert Vandenberghe, IMEC (Belgium); Paul van Adrichem, ASML Netherlands B.V. (Netherlands); Wenjin Shao, Brion Technologies, Inc. (United States); Koen Schreel, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . [7973-53]

4:50 pm: Light source technology advances to enable high-volume manufacturing for ArF immersion double patterning, Rajasekar M. Rao, Slava Rokitski, Rui Zhang, John T. Melchior, Daniel J. Riggs, Mary E. Haviland, Ted Cacouris, Daniel Brown, Cymer, Inc. (United States) . . . . . . [7973-54]

5:10 pm: Ecology and high-durability injection locked laser with fl exible power for double-patterning ArF immersion lithography, Hiroshi Umeda, Gigaphoton Inc. (Japan) . . . . . . . [7973-55]

Conference End

SESSION 5Room: Conv. Ctr. Ballroom A4

Thurs. 3:50 to 5:50 pm

Double PatterningSession Chairs: Juan-Antonio Carballo,

Netlogic Microsystems, Inc.; John L. Sturtevant, Mentor Graphics Corp.

3:50 pm: Design strategies for cost-effective, automatically DPT/MPT-compliant layout for advanced logic nodes (Invited Paper), James W. Blatchford, Texas Instruments Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-18]

4:30 pm: Decomposition-aware DRC to enable double-patterning compliant standard cell libraries (Invited Paper), Lars W. Liebmann, IBM Corp. (United States); David Pietromonaco, ARM Inc. (United States) . . . . . . . . . . . . . . . . . . [7974-19]

5:10 pm: Layout decomposition of self-aligned double patterning for 2D random-logic patterning, Yongchan Ban, The Univ. of Texas at Austin (United States); Soo-Han Choi, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Kevin Lucas, Synopsys, Inc. (United States); Chul-Hong Park, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); David Z. Pan, The Univ. of Texas at Austin (United States) . . . . . . . . . . . . . . . . [7974-20]

5:30 pm: A state-of-the-art hotspot recognition system for full-chip verifi cation with lithographic simulation, Mark Simmons, Mentor Graphics Corp. (United States); Jae-Hyun Kang, Seung Weon Paek, Kee Sup Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Youngkeun Kim, Joung Il Park, Mentor Korea Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7974-21]

Conference End

Conference 7974

Design for Manufacturability through Design-Process Integration V

Thursday 3 March

Conference 7973

Optical Microlithography XXIV

Page 60: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

58 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

A

Abe, Tamotsu [7969-07]S3Abe, Tsukasa [7969-45]

S10Abe, Yuichi [7971-109]

SPS1Abhari, Reza S. [7969-

104]SPS5, [7969-105]SPS5, [7969-106]SPS5

Abraham, Arceo [7971-43]S10

Abreau, Fariba [7969-11]S3

Abutan, Alfred [7969-62]SPS2

Adachi, Naokaka [7971-109]SPS1

Adam, Kostas [7973-11]S3

Adan, Ofer 7971 ProgComm, 7971 S14 SessChr, PanelModerator, 7971 S8 SessChr, [7971-15]S4, [7971-20]S5,

Admad, Imtiaz [7969-112]SPS5

Agarwal, Kanak B. [7973-13]S4, [7974-05]S2, [7974-14]S4

Agudelo Moreno, Viviana A. [7973-23]S6

Aharoni, Efraim [7971-20]S5

Ahn, Changhoon [7974-08]S2

Ahn, Jinho SC888 InstAhn, Sanghoon [7970-70]

SPS1Ahn, Yeong-Bae [7973-95]

SPS6Aiso, Fumiki [7972-11]S4Aitken, Robert 7974

ProgComm, 7974 S2 SessChr

Albrecht, Thomas R. [7970-18]S5, [7970-21]S5

Alduncín, Juan Antonio [7970-24]S6

Alexander-Katz, Alfredo [7970-26]S6

Alickaj, Flori [7969-106]SPS5

Alleaume, Clovis [7973-68]SPS3, [7973-70]SPS3

Allen, Robert 7972 S1 SessChr, 7972 S SessChr, 7972 Chr, [7972-44]S10, [7972-46]S11

Allgair, John A. 7971 S12 SessChr, 7971 S6 SessChr, 7971 ProgComm, [7971-02]S2

Almanza-Workman, Marcia [7970-30]S7

Altamirano Sanchez, Efrain [7972-39]S10

Amano, Tsuyoshi [7969-17]S4, [7969-46]S10

Amblard, Gilles [7970-42]S9

Amemiya, Mitsuaki [7969-65]SPS2

Aminpur, Massud [7973-44]S12, [7973-44]S3

An, Ilsin [7973-102]SPS6An, Jujin [7973-44]S12,

[7973-44]S3, [7974-13]S12, [7974-13]S3

Anazawa, Toshihisa [7969-22]S5, [7969-76]SPS3

Anderson, Christopher N. [7969-25]S6, [7969-39]S9, [7969-41]S9, [7969-116]SPS6

Anderson, Erik H. [7969-13]S4

Andes, Cecily E. [7972-06]S2

Andruczyk, Daniel [7969-78]SPS3

Andryzhyieuskaya, Alena [7973-30]S8

Ang, Kar Tien [7971-03]S2Anis, Mohab [7974-23]

SPS1, [7974-37]SPS1Antesberger, Gunter

[7973-37]S10, [7973-37]S11

Antohe, Alin O. [7969-08]S3, [7969-74]SPS3, [7969-90]SPS4

Aoyama, Hajime [7969-53]S12, [7969-55]S12

Apetz, Rolf [7969-50]S11Aramaki, Fumio [7969-46]

S10Arch, John [7974-22]SPS1Archie, Chas N. 7971

ProgComm, [7971-02]S2, [7971-41]S10

Arellano, Noel [7972-27]S4, [7972-27]S7

Arimitsu, Koji [7969-93]SPS4

Arisawa, Yukiyasu [7969-53]S12, [7969-55]S12, [7969-76]SPS3

Arnold, John C. [7972-42]S10, [7973-22]S5

Arnoux, Vincent [7973-68]SPS3

Arriola, Daniel J. [7969-36]S9, [7969-36]S8

Asai, Masaya [7969-86]SPS4

Asakawa, Koji [7970-58]SPS1

Asano, Masafumi 7971 ProgComm, 7971 S6 SessChr, 7971 S2 SessChr

Aschke, Lutz [7973-73]SPS3

Ashton, Christopher [7973-47]S13

Ashworth, Dominic [7969-37]S9, [7969-37]S8

Attota, Ravikiran [7971-28]S7

Ausschnitt, Christopher [7973-28]S7

Austel, Vernon [7973-07]S2Axelrad, Valery [7973-12]

S3, [7973-39]S11Ayal, Guy [7971-20]S5Ayothi, Ramakrishnan

7972 ProgComm, 7972 S6 SessChr

Azarnouche, Laurent [7972-41]S10

Azuma, Tsukasa [7970-50]S11, [7970-58]SPS1

B

Babin, Sergey [7970-31]S7Bacelar, Jose [7969-49]S11Baclea-an, Lorie-Mae

[7969-25]S6, [7969-66]SPS2

Bacuita, Terence [7969-91]SPS4

Bae, Jinhee [7972-75]SPS4Bae, Yong-Kug [7973-49]

S13Bae, Young C. [7972-06]

S2, [7972-32]S8Bagheri, Saeed [7973-07]

S2Baik, Ki-Ho [7974-08]S2Bailey, Todd C. [7973-40]

S11Bakker, Dave [7971-43]S10Bakshi, Vivek SC888 InstBalasa, Istvan [7969-79]

SPS3Bald, Holger [7971-45]S10,

[7971-45]S11Baluswamy, Pary 7973

ProgComm, 7973 SPS5 SessChr, 7973 S2 SessChr

Ban, Keundo [7969-57]SPS1

Ban, Yongchan [7974-20]S5

Banerjee, Shayak [7973-13]S4, [7974-14]S4

Barahona, Francisco [7973-07]S2

Barclay, George G. 7972 ProgComm, 7972 S2 SessChr, [7972-06]S2, [7972-88]SPS4

Barkusky, Frank [7969-77]SPS3

Barnes, Bryan M. [7971-12]S4, [7971-40]S10

Barrett, Monica J. [7969-30]S7

Bartynski, Robert A. [7969-72]SPS3

Baumgart, Peter [7969-112]SPS5

Bayer, Armin [7969-77]SPS3, [7973-83]SPS5

Baylav, Burak [7969-27]S6

Bayle, Sébastien [7970-44]S10

Bekaert, Joost P. [7973-26]S7, [7973-30]S8, [7973-53]S14

Bell, Cynthia S. [7970-41]S9

Bell, Rosemary [7972-06]S2

Bell, William [7970-28]S7Belledent, Jérôme [7970-

46]S10Ben, Yu [7974-24]SPS1Ben Yishay, Michael [7971-

58]S14Bencher, Christopher

[7970-14]S4, [7970-14]S7, [7971-58]S14, [7971-79]SPS1, [7973-19]S5, [7973-60]SPS2, [7973-61]SPS2, [7974-12]S12, [7974-12]S3

Bennett, Randy [7972-89]SPS4

Bent, Stacey F. [7972-52]SPS1

Ben-Zvi, Guy [7971-101]SPS1, [7973-37]S10, [7973-37]S11

Bergbauer, Werner [7970-40]S9

Berger, Ami [7973-61]SPS2Berger, Gabriel [7973-11]

S3Bergmair, Iris [7970-57]S12Bergner, Brent C. [7971-

22]S5Besen, Matthew M. [7969-

107]SPS5Bevis, Chris [7970-43]S10Beyer, Dirk [7971-101]

SPS1Beyer, Gerald [7973-62]

SPS2Bhatara, Gandharv [7973-

11]S3Bhattacharyya, Kaustuve

[7971-04]S2Biafore, John J. [7969-

03]S1, [7969-05]S2, [7969-05]S3, [7969-43]S9, [7969-63]SPS2, [7972-31]S8, [7972-35]S8, [7973-20]S5

Bianucci, Giovanni [7969-10]S3

Bickford, Jeanne [7974-11]S12, [7974-11]S3

Bilski, Bartosz J. [7971-106]SPS1

Blackborow, Paul A. [7969-107]SPS5

Blackwell, James M. [7972-14]S4, [7972-18]S5, [7972-70]SPS4, [7972-71]SPS4, [7972-72]SPS4

Blaikie, Richard J. [7970-55]S12

Blakey, Idriss [7970-66]SPS1, [7972-86]SPS4

Blaschke, Holger [7969-79]SPS3

Blatchford, James W. 7969 ProgComm, [7974-18]S5

Bociort, Florian [7971-104]SPS1

Boehm, Klaus [7971-101]SPS1

Boehm, M. [7970-57]S12Bogusz, Zachary [7972-

38]S9, [7972-38]S8Bohr, Mark T. [7974-01]S1Bok, Cheolkyu [7969-05]

S2, [7969-05]S3, [7972-25]S6, [7972-59]SPS2

Bomholt, Lars H. [7973-88]SPS6

Bork, Ingo [7970-37]S8, [7973-91]SPS6

Bosschker, Stijn [7970-12]S3

Bosworth, Joan K. [7970-18]S5

Bourrier, David [7972-87]SPS4

Bowden, Murrae J. SC101 Inst

Bowering, Norbert R. [7969-51]S11, [7969-112]SPS5

Boye, Carol A. [7971-31]S8, [7972-15]S4

Bozano, Luisa D. 7972 S11 SessChr, 7972 ProgComm, [7972-43]S10, [7972-44]S10, [7972-46]S11

Bozdog, Cornel [7971-02]S2, [7971-37]S9

Bozec, Xavier [7969-09]S3Bradon, Neil G. [7969-115]

SPS6Braggin, Jennifer [7972-

106]SPS6, [7972-108]SPS6

Bragheri, Attilio [7969-10]S3

Brainard, Robert L. 7969 ProgComm, [7969-90]SPS4, [7972-37]S9, [7972-37]S8

Brakensiek, Nick L. [7972-108]SPS6

Brandt, David C. [7969-51]S11, [7969-112]SPS5

Bratkovsky, Alexandre M. [7970-38]S9

Braun, Felix [7971-82]SPS1Braun, Paul V. [7970-51]

S11Breyta, Gregory [7972-43]

S10Brill, Boaz [7971-02]S2,

[7971-37]S9Brink, Markus [7972-42]

S10Bristol, Robert [7972-14]

S4, [7972-71]SPS4Brock, Phillip [7972-44]

S10, [7972-46]S11Brodie, Alan D. [7970-43]

S10, [7970-64]SPS1Brodsky, Colin [7973-44]

S12, [7973-44]S3Broman, Pär [7973-51]S14

Index of Authors, Chairs, and Committee Members

Page 61: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 59

Brooks, Cynthia B. [7970-08]S2

Brown, Daniel [7973-54]S14, [7969-51]S11, [7969-112]SPS5, [7973-79]SPS4

Brown, Laura [7970-08]S2Brueck, Steve R. J. [7970-

15]S4, [7970-15]S7Bruguier, Antoine J. [7973-

92]SPS6Brunner, Timothy A.

[7973-28]S7Brux, Oliver [7969-60]SPS2Buck, Peter D. 7973

ProgComm, 7973 S4 SessChr, 7973 S6 SessChr, 7973 SPS6 SessChr, [7974-22]SPS1

Bull, Horace [7969-08]S3Bunday, Benjamin D.

7971 ProgComm, 7971 S5 SessChr, 7971 S10 SessChr, [7971-10]S3, [7971-28]S7, [7971-30]S8, [7971-53]S13, [7971-72]SPS1

Burdt, Russell A. [7969-108]SPS5

Burkhardt, Martin [7969-28]S6

Burns, Sean D. 7972 ProgComm, 7972 S2 SessChr, [7973-22]S5

Burov, Anatoly Y. [7971-99]SPS1, [7973-103]SPS7

Busch, Jens [7971-45]S10, [7971-45]S11

Bykanov, Alexander N. [7969-51]S11, [7969-112]SPS5

C

Cabrini, Stefano [7970-31]S7

Cacouris, Ted [7973-54]S14

Cai, Man-Ping [7971-79]SPS1, [7971-91]SPS1

Cain, Jason P. 7971 S9 SessChr, 7971 S4 SessChr, 7971 SPS1 SessChr, 7971 ProgComm

Cameron, James F. [7972-35]S8, [7972-40]S10, [7972-95]SPS5

Canestrari, Paolo [7971-81]SPS1

Cantone, Jason R. [7972-21]S6, [7973-14]S4

Cao, Hua [7973-30]S8Cao, Yu [7973-29]S8,

[7973-82]SPS5Capodieci, Luigi 7974

S3 SessChr, 7974 ProgComm, [7974-38]SPS1

Carballo, Juan-Antonio 7974 S5 SessChr, 7974 ProgComm

Carden, Scott [7970-20]S5Cardineau, Brian [7972-

37]S9, [7972-37]S8Cardolaccia, Thomas

[7972-06]S2Carpaij, Rene [7973-05]S2Carpick, Robert W. [7970-

53]S12Carroll, Allen [7970-43]

S10Carson, Steve L. [7969-61]

SPS2Carter, Kenneth R. [7970-

48]S11Casati, Nathalie [7973-07]

S2Cassol, Gian Luca [7969-

10]S3Castenmiller, Thomas J. M.

[7973-29]S8Catalfano, Mark [7969-73]

SPS3Caudillo, Roman [7969-

91]SPS4Cecil, Thomas [7973-47]

S13, [7974-08]S2Cetre, Sylviane [7972-41]

S10Cha, Brian [7969-14]S4Chalasani, Raghu [7969-

27]S6Chandhok, Manish [7969-

61]SPS2, [7969-91]SPS4, [7969-119]SPS2, [7972-45]S11, [7972-100]SPS5

Chandler, Curran M. [7970-23]S6

Chang, Chan Sam [7972-101]SPS5, [7974-13]S12, [7974-13]S3

Chang, Ching-Yu [7972-79]SPS4, [7972-88]SPS4

Chang, Li-Fu [7974-27]SPS1

Chang, Sang Yil [7972-92]SPS5

Chang, Tuwon [7972-75]SPS4

Chang, Tzu-Hsuan [7970-15]S4, [7970-15]S7

Chang, Vencent [7972-99]SPS5

Chang, Ya-Hui [7972-88]SPS4

Chang, Yi-Shiang [7972-47]S11, [7973-71]SPS3

Chang, Yung-Cheng [7972-99]SPS5

Charley, Anne-Laure [7971-89]SPS1

Chau, Larry [7973-91]SPS6Chen, Alek C. [7971-75]

SPS1Chen, Been-Der [7973-09]

S3Chen, Charlie [7971-80]

SPS1, [7972-99]SPS5Chen, Charlie C. [7973-75]

SPS3

Chen, Chung-Han [7970-59]SPS1

Chen, Chunwei [7972-60]SPS2

Chen, Cindy X. [7972-68]SPS3

Chen, Dongxue [7971-85]SPS1

Chen, Frank [7973-09]S3Chen, H. H. [7971-100]

SPS1Chen, Hao [7973-63]SPS2,

[7973-64]SPS2Chen, Hong [7972-34]S8Chen, Hong [7973-09]S3Chen, Howard [7971-80]

SPS1, [7971-100]SPS1Chen, Jack J. [7970-35]S8Chen, Jieh-Jang [7969-47]

S10Chen, Kuang Han [7974-

27]SPS1, [7974-31]SPS1Chen, Kuang-Jung [7972-

15]S4Chen, Lan [7972-86]SPS4Chen, Luoqi [7973-09]S3Chen, Nanshu [7973-92]

SPS6Chen, Norman [7973-44]

S12, [7973-44]S3Chen, Robert J. [7969-66]

SPS2Chen, Wei-Su G. [7972-97]

SPS5, [7972-103]SPS5Chen, Yijian [7973-60]

SPS2, [7973-61]SPS2, [7973-63]SPS2, [7973-64]SPS2

Chen, Yonghui [7973-85]SPS5

Chen, Yongmei [7970-14]S4, [7970-14]S7, [7973-60]SPS2, [7973-61]SPS2, [7973-63]SPS2, [7973-64]SPS2

Cheng, Han-Hao [7970-66]SPS1

Cheng, Harvey [7971-100]SPS1

Cheng, Hsin-Hung [7971-75]SPS1

Cheng, Jacky [7973-92]SPS6

Cheng, Jianrui [7973-85]SPS5

Cheng, Joy Y. 7970 ProgComm, [7970-14]S4, [7970-14]S7, [7972-27]S4, [7972-27]S7, [7972-28]S4, [7972-28]S7

Cheng, Shaunee Y. [7969-56]S12, [7969-115]SPS6, 7971 ProgComm, 7971 S14 SessChr, 7971 S12 SessChr, [7971-32]S8, [7971-38]S9, [7971-89]SPS1, [7971-93]SPS1, [7973-26]S7

Chevalier, Xavier [7970-24]S6, [7970-25]S6

Chevolleau, Thierry [7970-25]S6

Chiang, Ih Chih [7971-80]SPS1, [7972-99]SPS5

Chiang, Shang-Yi Plenary, [AL11PL-200]S

Chiba, Hiroshi [7969-23]S6Chin, Sheng-Ji [7969-47]

S10Chiou, Tsann-Bim [7971-

60]S14, [7973-69]SPS3Chipman, Russell A.

[7973-45]S13Cho, Byungug [7973-109]

SPS8Cho, Han-Ku [7969-01]S1,

[7969-40]S9, [7973-33]S9

Cho, Joonyeon [7972-38]S9, [7972-38]S8

Cho, Kyoung-Yong [7969-37]S9, [7969-37]S8, [7969-42]S9

Cho, Sungchan [7971-105]SPS1

Cho, Youngjin [7972-81]SPS4

Cho, Younjin [7972-14]S4, [7972-18]S5, [7972-71]SPS4

Choi, Byoung-Il [7973-92]SPS6

Choi, Chang-Hwan [7970-54]S12

Choi, Dong-Sub [7971-50]S12

Choi, Hyojin [7970-70]SPS1

Choi, Jaeseung [7973-109]SPS8

Choi, Jaeyoung [7973-100]SPS6, [7973-101]SPS6

Choi, Jeaseung [7973-27]S7

Choi, Jin [7973-33]S9Choi, Jung-Hoe [7973-101]

SPS6Choi, Kang-Hoon [7970-44]

S10, [7970-47]S10Choi, Kwangseon [7973-

100]SPS6, [7973-101]SPS6

Choi, Peter [7969-111]SPS5

Choi, Seong-Woon [7973-32]S9, [7973-87]SPS6, [7973-90]SPS6, [7973-93]SPS6

Choi, Siyoung [7973-49]S13

Choi, Soo-Han [7974-16]S4, [7974-20]S5, [7974-39]SPS1

Chow, Tatung [7974-08]S2Christianson, Matthew D.

[7969-36]S9, [7969-36]S8

Chuang, Ya-Mi [7970-66]SPS1

Chue, Chuei-Fu [7971-60]S14

Chung, U-In [7970-07]S2Clifford, Chris H. [7969-

48]S10, [7969-64]SPS2, [7971-85]SPS1

Cobb, Jonathan L. [7969-26]S6

Cobene, Robert [7970-30]S7

Cockburn, Andrew [7973-62]SPS2

Coggins, Cynthia [7971-42]S10

Cohen, Avi [7971-101]SPS1, [7973-37]S10, [7973-37]S11

Cohen, S. [7972-46]S11Cohen, Yaron [7971-58]

S14Colburn, Matthew E. [7972-

15]S4, [7972-19]S5, [7972-21]S6, [7972-27]S4, [7972-27]S7, [7973-05]S2, [7973-14]S4, [7973-22]S5

Coley, Suzanne M. [7969-36]S9, [7969-36]S8

Condella, Jac P. [7974-38]SPS1

Conley, Amiad [7971-79]SPS1

Conley, Will 7971 S11 SessChr, 7973 S SessChr, 7973 S1 SessChr, 7973 S10 SessChr, PanelModerator, 7973 CoChr, [7973-38]S11, PanelModerator

Constancias, Christophe [7970-33]S8

Constantoudis, Vassilios [7971-25]S6

Coogans, Martyn J. [7971-107]SPS1

Coons, Ryan W. [7969-102]SPS5

Corliss, Daniel A. [7973-05]S2, [7973-28]S7

Corthout, Marc [7969-50]S11

Coskun, Tamer H. [7973-16]S4, [7973-24]S6, [7973-67]SPS3

Coutu, Ronald A. [7972-90]SPS5

Cox, Chris [7972-89]SPS4Cramer, Hugo [7973-36]

S10, [7973-36]S11Crank, Matthew L. [7969-

73]SPS3Crimmins, Timothy F.

[7971-13]S4Cummings, Kevin D. 7969

ProgCommCunges, Gilles [7970-25]S6Curran, David [7970-06]S2

D

Dabbagh, Gary [7972-78]SPS4

Daga, Vikram K. [7969-06]S2, [7969-06]S3, [7970-23]S6

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Page 62: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

60 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Dai, Huixiong [7971-58]S14, [7973-24]S6, [7973-99]SPS6, [7974-12]S12, [7974-12]S3

Dallas, William J. [7973-45]S13

Dammel, Ralph R. SC616 Inst, 7972 S6 SessChr, 7972 ProgComm, [7972-91]SPS5

Dasari, Prasad [7971-35]S9, [7971-70]SPS1

Dave, Aasutosh D. [7973-11]S3, [7973-65]SPS3

Davis, Blake [7972-17]S5, [7972-46]S11

Davydova, Natalia [7969-95]SPS4

De Backer, Johan [7969-54]S12

De Bisschop, Peter [7971-32]S8, [7973-10]S3

de Boer, Guido [7970-12]S3

De Chiara, Elio [7971-81]SPS1

De Dea, Silvia [7969-112]SPS5

De Gendt, Stefan [7969-19]S5

de Jong, Ivo [7969-49]S11De Martino, Antonello

[7971-39]S9de Pablo, Juan J. 7970

ProgComm, [7970-69]S12

de Silva, E. Anuja [7972-27]S4, [7972-27]S7, [7972-42]S10, [7972-43]S10

Deckers, David [7973-51]S14

Deeb, Chris [7971-12]S4Degel, Ch. Ehrlich W.

[7971-101]SPS1Dekkers, Harold [7973-62]

SPS2Delamarche, Emmanuel

[7972-17]S5DeMaris, David L. [7973-

07]S2Demuynck, Steven [7973-

62]SPS2Denbeaux, Gregory P.

[7969-08]S3, [7969-74]SPS3, [7969-90]SPS4

Deng, Yunfei [7969-28]S6, [7973-16]S4, [7974-12]S12, [7974-12]S3

Denham, Paul E. [7969-25]S6

Depre, Laurent [7973-68]SPS3

Derwin, Paul [7972-105]SPS6

Deumié-Raviol, Carole [7971-36]S9

Devilliers, Anton J. [7971-52]S12, [7972-13]S4, [7972-34]S8, [7972-61]SPS3, [7972-64]SPS3

D’havé, Koen [7973-26]S7Dhuey, Scott D. [7970-31]

S7Diao, Cuimei [7972-66]

SPS3Diebold, Alain [7971-01]S1Dilhan, Monique [7972-87]

SPS4Dixson, Ronald G. [7971-

28]S7, [7971-40]S10Djidel, Slimane [7969-09]S3Dmitriev, Vladimir [7973-

37]S10, [7973-37]S11Do, Mun-hoe [7969-26]S6,

[7973-90]SPS6Do, Thuy [7971-92]SPS1Dobisz, Elizabeth A. 7970

ProgComm, [7970-18]S5, [7970-21]S5

Doering, Hans-Joachim [7970-13]S3

Dong, Angang [7970-49]S11

Dong, Xian-Zi [7970-68]SPS1, [7973-77]SPS4

Donnelly, Thomas [7971-06]S2

Dorgelo, Anneke [7972-86]SPS4

Döring, Stefan [7969-77]SPS3

Dorl, Wolfgang H. [7970-13]S3

Dorris, Siew-Tin L. [7974-22]SPS1

Dotan, Kfi r [7971-15]S4, [7971-58]S14, [7971-79]SPS1, [7971-91]SPS1

Dourthe, Ludivine [7971-27]S7

Dover, Russell [7973-09]S3Doyle, Gary F. [7970-08]S2Dress, Peter [7969-60]

SPS2Du, Fei [7973-82]SPS5Du, JiungRung [7971-97]

SPS1Du, Yuelin [7973-18]S4,

[7974-28]SPS1Duan, Lifeng [7971-99]

SPS1, [7973-85]SPS5Duan, Mason [7971-95]

SPS1Duan, Xuan-Ming [7970-68]

SPS1, [7973-77]SPS4Duchin, Zohar [7971-91]

SPS1Dunn, Shannon [7969-37]

S9, [7969-37]S8, [7972-21]S6, [7973-14]S4

Dunne, Padraig [7969-97]SPS5

Durant, Stephane [7971-105]SPS1

Duray, Frank [7971-58]S14Dureseti, Chidambarrao

[7974-11]S12, [7974-11]S3

Durgan, Bruce [7973-11]S3

Dusa, Mircea V. SC885 Inst, [7969-54]S12, [7969-56]S12, [7971-89]SPS1, 7973 S12 SessChr, PanelModerator, 7973 S SessChr, 7973 S1 SessChr, 7973 Chr, [7973-10]S3, [7973-29]S8, 7974 S3 SessChr, PanelModerator

E

Ebert, Martin [7973-36]S10, [7973-36]S11

Echigo, Masatoshi [7972-73]SPS4

Eder-Kapl, Stefan [7970-57]S12

El Manhawy, Wael [7974-30]SPS1

Elder, Richard E. [7970-30]S7, [7970-41]S9

Eldo, Joby [7972-72]SPS4Elia, Alex [7971-72]SPS1Ellwi, Samir S. [7969-105]

SPS5, [7969-106]SPS5Emrick, Todd [7969-06]S2,

[7969-06]S3Endo, Akira [7969-07]S3,

[7969-97]SPS5, [7969-99]SPS5, [7969-100]SPS5

Endo, Masayuki [7972-57]SPS1

Endo, Takafumi [7969-85]SPS4

Engelhardt, Kay [7974-26]SPS1

Engelmann, Sebastian U. [7972-42]S10

Englard, Ilan [7971-58]S14Enomoto, Kazuyuki [7969-

93]SPS4, [7972-20]S5Enomoto, Masashi [7972-

105]SPS6Enomoto, Yuuichirou

[7972-22]S6Eom, Jae-Doo [7972-104]

SPS6Ercken, Monique [7969-54]

S12Erdmann, Andreas 7973

S6 SessChr, 7973 S3 SessChr, 7973 SPS7 SessChr, 7973 ProgComm, [7973-23]S6, [7973-81]SPS5

Eriksson, Torbjörn [7970-40]S9

Ershov, Alex I. [7969-51]S11, [7969-112]SPS5

Eurlings, Mark [7969-95]SPS4

Evangelista, Fabrizio [7973-84]SPS5

Evanschitzky, Peter [7973-23]S6, [7973-81]SPS5

Eynon, Benjamin G. 7970 ProgComm

F

Fakyry, Moutaz [7973-11]S3

Fallet, Clement [7971-39]S9

Fan, Yongfa [7973-98]SPS6

Fan, Yu-Jen [7969-74]SPS3

Fan, Zhong [7974-27]SPS1Fang, Wei [7971-31]S8Fang, Yuan [7972-82]SPS4Faradzhev, Nadir S. [7969-

20]S5, [7969-110]SPS5Farrar, Nigel R. 7973

SPS4 SessChr, 7973 S7 SessChr, 7973 ProgComm, [7973-80]SPS5

Farys, Vincent [7969-59]SPS1, [7973-21]S5, [7973-68]SPS3, [7973-70]SPS3

Faurie, Pascal [7971-27]S7Fedynyshyn, Theodore H.

[7969-95]SPS4Feenstra, Kees [7969-95]

SPS4Feigl, Torsten [7969-20]S5,

[7969-110]SPS5Feldbaum, Michael R.

[7970-17]S5Feldmann, Heiko [7969-

15]S4Felix, Nelson M. [7971-47]

S12, [7973-28]S7Fender, Nicolette [7972-

15]S4Feng, Hanying [7973-09]S3Feng, Mu [7973-92]SPS6Fields, Matthew D. [7969-

73]SPS3Figueroa, Efrain [7973-78]

SPS4Finders, Jo M. [7971-58]

S14, [7973-29]S8, [7973-51]S14, [7973-68]SPS3

Flagello, Donis G. SympChair, [7973-08]S3

Fleury, Guillaume [7970-25]S6

Foldyna, Martin [7971-22]S5, [7971-39]S9

Fomenkov, Igor V. [7969-51]S11, [7969-112]SPS5

Fonseca, Carlos [7969-03]S1, [7969-35]S9, [7969-35]S8, 7973 ProgComm, 7973 S4 SessChr, 7973 SPS2 SessChr

Fontaine, Herve [7972-41]S10

Foong, Yee Mei [7973-92]SPS6

Ford, Erin L. [7973-45]S13Foubert, Philippe [7969-

115]SPS6, [7972-39]S10Foucher, Johann [7971-27]

S7, [7971-41]S10Foussadier, Franck [7969-

59]SPS1

Fredrickson, Glenn H. [7972-27]S4, [7972-27]S7

Freed, Regina [7970-43]S10, [7970-64]SPS1

Freitag, Martin [7970-47]S10

Frenner, Karsten [7971-106]SPS1

Friz, Alexander M. [7972-17]S5, [7972-27]S4, [7972-27]S7, [7972-28]S4, [7972-28]S7

Fuchs, Andreas [7971-107]SPS1

Fühner, Tim [7973-23]S6, [7973-81]SPS5

Fujii, Kana [7972-22]S6Fujii, Koichi [7973-71]SPS3Fujimori, Yoshihiko [7971-

17]S4Fujimoto, Junichi [7969-

07]S3, [7969-99]SPS5, [7969-100]SPS5

Fujimoto, Seiji [7972-77]SPS4

Fujimura, Aki [7970-01]S1, [7970-37]S8

Fujisawa, Tadahito [7973-06]S2

Fujisawa, Tomohisa [7972-55]SPS1

Fujita, Osamu [7971-51]S12

Fujitaka, Koji [7969-99]SPS5

Fujiwara, Masaki [7972-43]S10, [7972-44]S10

Fujiwara, Tomoharu [7971-78]SPS1, [7973-35]S10, [7973-35]S11

Fujiyoshi, Ryoko [7972-62]SPS3

Fukuda, Muneyuki [7971-34]S8

Fukuhara, Kazuya [7973-06]S2

Fullam, Jennifer M. [7971-31]S8

G

Gabrani, Maria [7973-07]S2Gallagher, Emily E. [7969-

30]S7Gallatin, Gregg M. [7969-

02]S1Gao, Shaowen [7973-92]

SPS6Gao, Weimin [7973-104]

SPS7Gardin, Christian [7969-59]

SPS1Gardner, Andrew T. [7970-

51]S11Garg, Rashi [7969-74]SPS3Garg, Vishal [7974-22]

SPS1Gau, Tsai-Sheng [7971-49]

S12, 7973 ProgComm, 7973 S5 SessChr

Index of Authors, Chairs, and Committee Members

Page 63: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 61

Gaugiran, Stéphanie [7970-24]S6

Gbondo-Tugbawa, Tamba [7974-31]SPS1

Gemmink, Jan-Willem [7973-26]S7, [7973-30]S8, [7973-51]S14

George, Simi A. [7969-13]S4, [7969-25]S6, [7969-38]S9, [7969-66]SPS2

Georger, Jacque [7972-37]S9, [7972-37]S8

Georges, Gaelle [7971-36]S9

Germer, Thomas A. [7971-22]S5

Geyl, Roland [7969-09]S3Ghislanzoni, Riccardo

[7969-10]S3Giannelis, Emmanuel P.

[7972-48]S11Giovannini, Andrea Z.

[7969-105]SPS5, [7969-106]SPS5

Gleason, Bob [7973-47]S13Glinsner, Thomas [7970-57]

S12Glodde, Martin [7972-42]

S10, [7972-92]SPS5Gnanappa, Arun Kumar

[7973-84]SPS5Goethals, Anne-Marie

[7969-92]SPS4, [7969-115]SPS6

Goettert, Jost [7972-102]SPS5

Gogolides, Evangelos [7971-25]S6, [7973-84]SPS5

Goh, Desmond [7971-86]SPS1

Goh, Yong Keng [7972-86]SPS4

Goldberg, Kenneth A. [7969-01]S1, [7969-13]S4, [7969-25]S6, [7969-34]S7, [7969-67]SPS2

Goldstein, Michael 7969 ProgComm

Golstov, Alexander [7970-31]S7

Gomez, Juan-Manuel [7972-101]SPS5

Goodwin, Francis 7969 ProgComm, [7969-08]S3

Gopalan, Padma [7970-15]S4, [7970-15]S7

Goto, Kentaro [7972-28]S4, [7972-28]S7, [7972-55]SPS1

Gotrik, Kevin [7970-26]S6Gou, Lijing [7972-64]SPS3Gower-Hall, Aaron [7974-

31]SPS1Graeupner, Paul [7969-95]

SPS4Graitzer, Erez [7971-101]

SPS1, [7973-37]S10, [7973-37]S11

Granik, Yuri [7973-11]S3Grantham, Steven E.

[7969-18]S5, [7969-20]S5

Graur, Ioana C. [7974-11]S12, [7974-11]S3

Graves, Trey [7969-05]S2, [7969-05]S3, [7969-63]SPS2

Green, Kent G. [7974-22]SPS1

Grella, Luca [7970-43]S10Grenville, Andrew [7969-

39]S9Grierson, David S. [7970-

53]S12Griffi n, Brendan J. [7971-

29]S7Grigoropoulos, Costas P.

[7970-70]SPS1Groenendijk, Remco [7973-

05]S2Gronheid, Roel [7969-03]

S1, [7969-35]S9, [7969-35]S8, [7969-63]SPS2, [7969-92]SPS4, [7969-115]SPS6, [7971-25]S6, 7972 S5 SessChr, 7972 ProgComm, [7972-07]S2, [7972-07]S3, [7972-14]S4, [7972-18]S5, [7972-39]S10

Groves, Timothy R. 7970 ProgComm

Gruner, Toralf [7973-51]S14

Gu, Xinyu [7972-14]S4, [7972-18]S5, [7972-71]SPS4

Guerrero, Alice [7972-78]SPS4

Guerrero, Douglas J. 7972 ProgComm, 7972 S10 SessChr, [7972-26]S6

Guillorn, Michael A. [7972-42]S10

Gullikson, Eric M. [7969-13]S4, [7969-82]SPS3, [7969-119]SPS2

Gunia, Markus [7971-82]SPS1

Guo, Dongfang [7972-84]SPS4

Gupta, Puneet [7974-35]SPS1

Gupta, Rachit [7973-65]SPS3

Gupta, Sidhartha [7970-51]S11

Gustafson, Deborah [7969-107]SPS5

Gutsch, Manuela S. [7970-44]S10, [7970-47]S10

H

Ha, Naya [7974-31]SPS1Haase, Gaddi [7970-06]S2Habib, Mohamed [7969-

27]S6Hada, Kazunari [7969-23]

S6Hadziioannou, Georges

[7970-25]S6

Haffner, Henning [7973-11]S3, [7974-13]S12, [7974-13]S3

Hagiwara, Yuji [7972-14]S4, [7972-18]S5, [7972-71]SPS4

Hakii, Hidemitsu [7971-11]S3, [7971-73]SPS1

Hakkennes, Edwin [7970-45]S10

Halle, Scott D. [7973-05]S2, [7973-14]S4

Hallett-Tapley, Geniece [7972-72]SPS4

Hamaguchi, Akira [7971-43]S10

Hamamatsu, Akira [7971-32]S8, [7971-64]SPS1

Han, Daehan [7974-25]SPS1

Han, Eungnak [7970-15]S4, [7970-15]S7

Han, Jae-won [7973-100]SPS6

Han, Kwenwoo [7972-75]SPS4

Han, Nora [7973-78]SPS4Han, Sanghyun [7971-105]

SPS1Hannon, Adam [7970-26]

S6Hansen, Delbert [7973-45]

S13Hanson, Cynthia 7970

ProgCommHara, Arisa [7972-10]S4,

[7972-98]SPS5Harilal, Sivanandan S.

[7969-12]S3, [7969-73]SPS3, [7969-102]SPS5

Harned, Noreen [7969-18]S5, [7969-49]S11

Harnisch, Wolfgang [7969-15]S4

Harris-Jones, Jenah [7969-16]S4

Harteneck, Bruce D. [7970-31]S7

Hartig, Carsten [7971-02]S2, [7971-10]S3

Harumoto, Masahiko [7969-86]SPS4

Hasegawa, Norio [7971-32]S8

Hashimoto, Koji [7972-11]S4

Haspeslagh, Luc [7970-64]SPS1

Hassanein, Ahmed [7969-12]S3, [7969-73]SPS3, [7969-102]SPS5

Hatsui, Takaki [7972-56]SPS1

Hatsuse, Tatsuya [7972-63]SPS3

Hattori, Shigeki [7970-58]SPS1

Haviland, Mary E. [7973-54]S14

Hayashi, Hiromi [7972-73]SPS4

Hayashi, Kenji [7969-98]SPS5

Hayashi, Naoya [7969-45]S10

He, Lin [7971-85]SPS1He, Yuan [7971-52]S12,

[7972-34]S8Head, Brian H. [7969-35]

S9, [7969-35]S8Heidari, Babak [7970-40]S9Hellebrekers, Paul [7970-

20]S5Hellebusch, Daniel J.

[7970-28]S7Hellweg, Dirk [7969-15]S4Hellwig, Olav [7970-18]S5Henderson, Clifford L.

[7970-22]S6, 7972 ProgComm, 7972 S11 SessChr

Henderson, Ian [7969-105]SPS5, [7969-106]SPS5

Hendrickx, Eric [7969-27]S6, [7969-56]S12, [7969-95]SPS4, [7969-115]SPS6, [7971-15]S4

Hendriks, Stef [7969-49]S11

Heo, Jinseok [7971-46]S10, [7971-46]S11

Heo, Junggun [7972-59]SPS2

Hermans, Jan V. [7969-56]S12, [7969-115]SPS6

Hernandez, Abelardo R. [7970-69]S12

Herr, Daniel J. C. 7970 S SessChr, 7970 Chr, 7971 ProgComm, 7971 S7 SessChr

Hershcovitch, Ady [7969-08]S3

Herzel, Eitan [7971-45]S10, [7971-45]S11

Hetzer, David R. [7973-14]S4

Heyns, Marc [7969-19]S5Hibino, Daisuke [7971-92]

SPS1Hickman, Craig [7971-52]

S12Hieno, Atsushi [7970-58]

SPS1Higashibata, Satomi [7973-

06]S2Higashiguchi, Takeshi

[7969-97]SPS5Higashiki, Tatsuhiko 7970

ProgComm, [7970-02]S1Higgins, Craig [7969-90]

SPS4, [7972-37]S9, [7972-37]S8

Higuchi, Tetsuya [7974-32]SPS1

Hill, Shannon B. [7969-18]S5, [7969-20]S5, [7969-110]SPS5

Hinnen, Paul C. [7971-89]SPS1

Hinsberg, William D. 7970 ProgComm, [7970-14]S4, [7970-14]S7, [7972-02]S1, [7972-17]S5, [7972-27]S4, [7972-27]S7, [7972-43]S10, [7972-44]S10

Hirabayashi, Keisuke [7974-07]S2

Hiraiwa, Atsushi [7971-18]S5

Hirano, Toshiki [7970-18]S5

Hiratsuka, Kenji [7970-39]S9

Hirayama, Taku [7972-20]S5

Hirayama, Toru [7973-31]S8

Hiroko, Konishi [7972-67]SPS3

Hiromu, Yoshida [7972-88]SPS4

Hirose, Hisashi [7972-77]SPS4

Hirose, Yusuke [7973-06]S2

Hirukawa, Shigeru [7973-71]SPS3

Hishiro, Yoshi [7972-28]S4, [7972-28]S7, [7972-55]SPS1

Hitokawa, Hiroshi [7972-99]SPS5

Hitoshi, Fukiya [7972-88]SPS4

Ho, Bang-Ching [7969-85]SPS4

Hoefnagels, Rik [7969-18]S5, [7969-92]SPS4

Hohle, Christoph K. [7970-47]S10, 7972 ProgComm, 7972 S8 SessChr

Hojyo, Yutaka [7971-23]S6, [7971-92]SPS1

Holland, Edward R. [7970-41]S9

Holmes, Steven J. [7972-15]S4, [7972-27]S4, [7972-27]S7, [7972-40]S10, [7972-101]SPS5, [7973-14]S4

Hong, Seunghee [7972-75]SPS4

Hooge, Joshua S. [7969-03]S1

Hori, Tsukasa [7969-07]S3, [7969-100]SPS5

Horioka, Kazuhiko [7969-98]SPS5

Horne, Stephen F. [7969-107]SPS5

Hornig, Barbara [7971-82]SPS1

Hotozuka, Kozue [7969-98]SPS5

Hotta, Kazuaki [7969-101]SPS5, [7969-103]SPS5

Hou, Richard R. [7969-112]SPS5

House, Mathiew [7969-33]S7

Hoving, Mark [7970-45]S10Howard, Emmett [7970-

41]S9Howell, Rafael [7973-51]

S14Hsieh, Michael [7971-67]

SPS1

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Page 64: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

62 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Hsieh, Wei-Hsien [7972-12]S4

Hsu, Pei-Cheng [7969-47]S10

Hsu, Shu-Hao D. [7972-12]S4

Hsu, Stephen D. SC885 Inst, [7973-09]S3

Hsu, Yautzong E. [7970-17]S5

Hsueh, Wen-Chang [7969-47]S10

Hu, Jiangtao [7971-35]S9, [7971-70]SPS1

Hu, Jimmy [7969-47]S10Hu, Min [7970-38]S9Hu, Wei [7970-17]S5Hua, Yueming [7971-42]

S10Huang, Chin-Chou K.

[7971-80]SPS1, [7971-86]SPS1

Huang, Chun-Yen [7971-60]S14, [7972-12]S4, [7973-69]SPS3

Huang, George [7969-37]S9, [7969-37]S8

Huang, Guo-Tsai [7971-49]S12, [7971-57]S14

Huang, Heng Ching [7971-100]SPS1

Huang, Hsu-Ting [7973-24]S6, [7973-99]SPS6

Huang, Jacky [7971-49]S12

Huang, Jason H. [7973-98]SPS6

Huang, Thomas [7973-69]SPS3

Huang, Yu H. [7971-100]SPS1

Huber, Peter [7969-95]SPS4

Huh, Sungmin [7969-01]S1Huli, Lior [7973-14]S4Humphris, Andrew D. L.

[7971-43]S10Hunsche, Stefan [7973-30]

S8, [7973-92]SPS6Hur, Duck-Hyung [7971-69]

SPS1, [7974-35]SPS1Hur, Su-Mi [7972-27]S4,

[7972-27]S7Hurat, Philippe [7974-07]

S2, [7974-27]SPS1, [7974-31]SPS1, [7974-38]SPS1

Hwang, Chan [7971-19]S5, [7971-50]S12

Hwang, David J. [7970-70]SPS1

Hwang, Soonwon [7969-42]S9

Hwu, Justin J. [7970-17]S5

Hyatt, Michael D. [7972-61]SPS3

Hyun, Yoon-Suk [7969-32]S7, [7969-57]SPS1

I

Icard, Beatrice [7970-33]S8Ichikawa, Hirotaka [7974-

32]SPS1Ido, Sanyu [7971-109]SPS1Iessi, Umberto [7971-81]

SPS1Ihm, Dongchul [7971-105]

SPS1Iida, Kazunori [7972-11]S4Ikeda, Kazuto [7971-21]S5Ikeuchi, Atsuhiko [7974-

15]S4Imagawa, Hiroto [7973-34]

S10, [7973-34]S11Imai, Motokatsu [7973-71]

SPS3Imamura, Tsubasa [7969-

52]S11Ina, Hideki [7970-56]SPS1Inanami, Ryoichi [7969-55]

S12Inazuki, Yuichi [7969-45]

S10Inglebert, René-Louis

[7973-108]SPS8Inoue, Osamu [7971-07]S3,

[7971-09]S3, [7971-71]SPS1, [7971-83]SPS1

Inoue, Soichi 7973 ProgComm, 7973 SPS1 SessChr, 7973 S2 SessChr, 7973 S9 SessChr, [7974-15]S4, [7974-32]SPS1

Inoue, Takeshi [7971-17]S4Irby, David L. [7973-47]S13Irie, Makiko [7972-18]S5Irie, Shigeo [7973-41]S11Isago, Susumu [7973-31]

S8Isawa, Miki [7971-32]S8,

[7971-93]SPS1Ishigo, Kazutaka [7973-06]

S2Ishihara, Takanobu [7969-

07]S3Ishii, Yuuki [7973-34]S10,

[7973-34]S11Ishikawa, Jun [7973-50]S14Ishimoto, Toru [7971-93]

SPS1Ishiyama, Satoshi [7973-

31]S8Islam, Saidul [7970-59]

SPS1Itani, Toshiro [7969-04]S2,

[7969-04]S3, [7969-71]SPS3, [7969-83]SPS4, [7969-86]SPS4, [7972-08]S2, [7972-08]S3, [7972-16]S5, [7972-30]S8, [7972-51]SPS1, [7972-53]SPS1

Itoh, Hiroshi [7971-44]S10Itoh, Masamitsu [7969-114]

SPS6Ivonin, Igor [7970-31]S7Iwai, Toshimichi [7971-73]

SPS1Iwashita, Jun [7972-20]S5

Iwashita, Mitsuaki [7970-50]S11, [7972-77]SPS4

Izikson, Pavel [7971-45]S10, [7971-45]S11, [7971-51]S12, [7971-78]SPS1

Izumi, Akira [7969-22]S5

J

Jack, Kevin [7970-66]SPS1Jackson, Edward A. [7972-

72]SPS4Jackson, Warren B. [7970-

30]S7Jacobsson, B. Michael

[7970-28]S7Jager, Remco J. [7970-12]

S3Jain, Kaveri [7972-13]S4,

[7972-61]SPS3Jain, Vipul [7969-36]S9,

[7969-36]S8Jang, Jongwon [7973-27]

S7Jang, Louis [7971-80]SPS1Jang, Min-Sik [7972-104]

SPS6Jang, Stephen [7973-94]

SPS6Jang, Sung-Hoon [7969-

26]S6Jang, Yongsun [7974-08]

S2Jang, Yun Kyeong [7973-

49]S13Jau, Jack Y. [7969-45]S10,

[7971-31]S8Jayaram, Srividya [7973-

65]SPS3Jeans, Albert [7970-41]S9Jehoul, Christiane [7969-

115]SPS6Jeon, Jinhyuck [7971-59]

S14Jeong, Jaeyoon [7974-08]

S2Jeong, Moon-Gyu [7973-

87]SPS6Jeong, Seokyun [7974-08]

S2Jessen, Scott W. [7971-

08]S3, 7972 S8 SessChr, 7972 ProgComm

Jhaveri, Tejas [7974-04]S2, [7974-17]S4

Ji, Shengxiang [7970-69]S12

Jiang, Aiqin [7973-14]S4Jiang, Da Bai [7971-67]

SPS1Jiang, Jenny [7974-27]

SPS1Jiang, Li [7970-59]SPS1Jiang, Rui [7973-78]SPS4Jiang, Shangliang [7973-

96]SPS6, [7973-97]SPS6Jiang, Weihua [7969-97]

SPS5Jin, Feng [7970-68]SPS1Jin, YouSeung [7971-88]

SPS1

Jindal, Vibhu [7969-16]S4, [7969-44]S10, [7969-74]SPS3

Jo, Jae Heung [7971-63]SPS1

Joechl, Peter [7970-57]S12Johnson, Don [7972-102]

SPS5Johnson, Richard [7973-

05]S2Jones, Gideon [7969-25]S6Jonkers, Jeroen [7969-50]

S11Joo, Hyunsang [7969-94]

SPS4Joshima, Yuki [7969-101]

SPS5Joy, David C. 7971

ProgComm, 7971 S8 SessChr, 7971 S13 SessChr, [7971-29]S7

Ju, Dong Kyu [7972-65]SPS3

Jun, Sungho [7973-100]SPS6

Jung, Areum [7971-16]S4Jung, Byungki [7972-45]

S11, [7972-100]SPS5Jung, Sunwook [7971-06]

S2Jung, Woo-Yung [7972-

104]SPS6

K

Kadaksham, Arun J. [7969-33]S7, [7971-33]S8

Kagalwalla, Abde Ali H. [7974-35]SPS1

Kageyama, Junichi [7969-16]S4

Kai, Toshiyuki [7969-89]SPS4, [7972-55]SPS1

Kaiser, Norbert [7969-110]SPS5

Kakibayashi, Hiroshi [7971-21]S5

Kakizaki, Kouji [7969-07]S3, [7969-100]SPS5

Kamat, Vishnu [7973-16]S4, [7973-24]S6, [7973-67]SPS3

Kamata, Yoshiyuki [7970-19]S5

Kambali, Imam [7969-96]SPS5

Kameda, Hidenobu [7969-99]SPS5

Kameshima, Takashi [7972-56]SPS1

Kamikubo, Takashi [7970-37]S8

Kamimura, Sou [7972-22]S6

Kamineni, Vimal K. [7969-74]SPS3

Kamo, Takashi [7969-17]S4, [7969-29]S7, [7969-53]S12, [7969-114]SPS6, [7971-76]SPS1

Kampherbeek, Bert J. 7970 ProgComm, [7970-12]S3, [7970-33]S8

Kanai, Hideki [7973-44]S12, [7973-44]S3, [7974-13]S12, [7974-13]S3

Kanakasabapathy, Sivananda [7972-42]S10

Kanayamaya, Nobumichi [7973-08]S3

Kandaka, Noriaki [7969-21]S5

Kaneyama, Koji [7969-04]S2, [7969-04]S3, [7969-83]SPS4, [7969-86]SPS4, [7972-08]S2, [7972-08]S3, [7972-51]SPS1

Kang, Chang-Jin [7971-19]S5, [7971-46]S10, [7971-46]S11, [7971-50]S12, [7973-32]S9, [7973-87]SPS6, [7973-90]SPS6, [7973-93]SPS6

Kang, Huiman [7970-69]S12

Kang, In-Yong [7969-01]S1Kang, Jae-Hyun [7974-21]

S5Kang, Kyung [7973-82]

SPS5Kang, Seokho [7972-06]S2Kang, Shuhui [7969-06]S2,

[7969-06]S3Kang, Su Jin [7969-36]S9,

[7969-36]S8Kanjolia, Ravi [7972-72]

SPS4Kanno, Masahiro [7970-50]

S11, [7970-58]SPS1Kanno, Yuta [7972-76]

SPS4Karim, Karim S. [7974-37]

SPS1Kasprowicz, Bryan S.

7969 ProgComm, PanelModerator

Kast, Michael [7970-57]S12Katakami, Akira [7971-21]

S5Katayama, Tomohide

[7972-99]SPS5Kato, Hirokazu [7969-28]

S6, [7973-05]S2Kato, Keisuke [7972-69]

SPS3Katou, Keita [7972-22]S6Kawada, Hiroki [7971-07]

S3, [7971-09]S3, [7971-71]SPS1, [7971-83]SPS1

Kawahara, Hidetaka [7973-31]S8

Kawahara, Toshikazu [7971-109]SPS1

Kawai, Hidemi [7969-23]S6Kawai, Yoshio 7972

ProgComm, 7972 S9 SessChr

Kawakami, Shinichiro [7969-37]S9, [7969-37]S8, [7972-21]S6, [7973-14]S4

Index of Authors, Chairs, and Committee Members

Page 65: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 63

Kawakami, Takanori [7972-14]S4, [7972-18]S5, [7972-71]SPS4

Kawamura, Daisuke [7969-55]S12, [7969-88]SPS4, [7970-50]S11

Kawasaki, Takahiro [7971-71]SPS1

Kawashima, Satoshi [7969-45]S10

Kawashita, Masashi [7971-73]SPS1

Kaya, Cemil [7969-18]S5, [7969-92]SPS4, [7969-95]SPS4

Kazumi, Hideyuki [7971-32]S8

Ke, Chih-Ming 7971 ProgComm, [7971-04]S2, [7971-49]S12

Kearney, Parick A. [7969-44]S10

Keen, Imelda [7970-66]SPS1

Kekura, Mitsuru [7969-22]S5

Kelling, Mark [7971-02]S2, [7972-92]SPS5

Kempsell Sears, Monica L. [7973-46]S13

Kercher, Dan S. [7970-18]S5

Kershner, Ryan J. 7970 ProgComm

Kesters, Els [7969-19]S5Keszler, Douglas A.

[7969-39]S9Khodykin, Oleh V. [7969-

51]S11, [7969-80]SPS3Khopkar, Yashdeep [7969-

74]SPS3Khulbe, Pramod K. [7973-

45]S13Khvatkov, Vitali [7971-08]

S3Kiani, Amirkianoosh [7970-

60]SPS1Kibarian, John [7974-02]S1Kihara, Naoko [7970-19]S5Kikitsu, Akira [7970-19]S5Kikuchi, Takahisa [7973-34]

S10, [7973-34]S11Kikuchi, Yasutaka [7971-

11]S3, [7971-73]SPS1Kikuchi, Yukiko [7969-55]

S12, [7969-88]SPS4Kikutani, Keisuke [7972-

11]S4Kilbane, Deirdre [7969-97]

SPS5Kilian, Volker [7969-50]S11Kim, Byung-Sung [7973-

11]S3Kim, ChangJung [7970-07]

S2Kim, Cheol-Kyun [7969-05]

S2, [7969-05]S3, [7973-27]S7, [7973-89]SPS6, [7973-109]SPS8

Kim, David H. [7973-47]S13, [7974-08]S2

Kim, Donggyu [7969-05]S2, [7969-05]S3

Kim, Dongwan [7969-01]S1Kim, Eun-Jin [7973-102]

SPS6Kim, Eunju [7973-32]S9Kim, Hawk [7971-59]S14Kim, Heebom [7973-33]S9Kim, Helen [7971-02]S2,

[7971-37]S9Kim, Hoyeon [7971-19]S5Kim, Hyo Chan [7973-49]

S13Kim, Hyun Jin [7972-65]

SPS3Kim, Hyun-Woo [7969-40]

S9, [7969-42]S9Kim, Insung [7969-26]S6,

[7969-40]S9Kim, Jae Hyun [7972-65]

SPS3Kim, Jae-Heon [7969-05]

S2, [7969-05]S3Kim, Jin-Woong [7972-104]

SPS6Kim, Jong Soo [7971-63]

SPS1Kim, Jungchan [7971-16]

S4Kim, Kee Sup [7974-21]S5,

[7974-31]SPS1Kim, Ki-Hyun [7973-93]

SPS6Kim, Miyoung [7972-75]

SPS4Kim, Ryoung-Han [7971-

35]S9, [7973-05]S2, [7973-22]S5

Kim, Sang Kyun [7972-75]SPS4

Kim, Sang Ouk [7970-16]S4, [7970-16]S7

Kim, Sang-Hyun [7969-01]S1

Kim, Sang-Kon [7973-105]SPS7

Kim, Sang-wook [7974-33]SPS1

Kim, Seokkyun [7969-57]SPS1

Kim, Seong-Sue 7969 ProgComm, [7969-01]S1

Kim, Shin Young [7971-68]SPS1

Kim, Sumin [7969-40]S9, [7969-42]S9

Kim, Sungjin [7972-25]S6, [7973-109]SPS8

Kim, Sung-Man [7973-82]SPS5

Kim, SungSu [7971-105]SPS1

Kim, Sung-Woo [7973-61]SPS2

Kim, Tae-Gon [7969-19]S5Kim, Tae-Gyun [7971-59]

S14Kim, Taeheon [7974-25]

SPS1Kim, Wan Ho [7973-110]

SPS8Kim, Yong-Hyeon [7974-

25]SPS1Kim, Yoon-Min [7974-25]

SPS1Kim, Young [7973-11]S3

Kim, Young-Chang [7969-26]S6, [7973-32]S9, [7973-87]SPS6, [7973-90]SPS6

Kim, Youngkeun [7974-21]S5

Kim, Youngmi [7973-101]SPS6

Kimura, Hiroaki [7972-56]SPS1

Kimura, Kazunari [7974-15]S4

Kimura, Shigeo [7972-63]SPS3

Kimura, Tooru [7969-89]SPS4, [7972-55]SPS1

Kindt, Louis M. [7969-30]S7

King, William P. [7970-51]S11

Kini, Sumanth [7972-15]S4Kita, Naonori [7973-08]S3,

[7973-52]S14Kitaguchi, Hiroaki [7972-

40]S10Kitano, Junichi [7969-115]

SPS6Kitano, Takahiro [7970-50]

S11Klein, Christof [7970-11]

S3Klikovits, Jan [7970-11]S3Klostermann, Ulrich K.

[7973-32]S9, [7973-90]SPS6

Knops, Roel [7971-58]S14Ko, Akiteryu [7969-37]S9,

[7969-37]S8Ko, Sungwoo [7973-109]

SPS8Ko, Woong [7970-07]S2Koay, Chiew-Seng [7972-

15]S4, [7972-42]S10, [7973-14]S4, [7973-22]S5

Kobayashi, Hideo [7970-29]S7

Kobayashi, Katsutoshi [7970-50]S11

Kobayashi, Naohiro [7974-07]S2

Kobayashi, Sachiko [7974-15]S4

Kobayashi, Takumi [7974-32]SPS1

Kodama, Kenichi [7970-27]S7

Kodama, Kunihiko [7970-27]S7

Kodera, Katsuyoshi [7973-06]S2

Koguchi, Masanari [7971-21]S5

Koh, Chawon [7969-40]S9, [7969-42]S9

Kohama, Yoshiaki [7969-23]S6

Kohler, Carsten [7973-51]S14, [7973-80]SPS5

Kohli, Priyanka [7971-43]S10

Kohno, Hirotaka [7973-50]S14

Koizumi, Taichi [7973-41]S11

Kojima, Akira [7970-61]SPS1

Komami, Hideaki [7970-10]S3

Komine, Nobuhiro [7973-06]S2

Komirenko, Sergiy M. [7973-43]S11

Komizo, Toru [7972-17]S5Komori, Hiroshi [7969-07]

S3Kondo, Hiroyuki [7969-23]

S6Kondo, Takashi [7972-77]

SPS4Konno, Kenri [7972-20]S5Kono, Takuya [7973-06]S2Koo, Sunyoung [7969-57]

SPS1Kool, Ron [7969-49]S11Koonmen, James P. [7973-

09]S3Korivi, Naga S. [7970-59]

SPS1Koshihara, Shunsuke

[7971-77]SPS1Koster, Norbert B. [7969-

31]S7Kosugi, Hitoshi [7969-84]

SPS4, [7969-115]SPS6, [7972-105]SPS6

Kosugi, Junichi [7971-78]SPS1, [7973-35]S10, [7973-35]S11, [7973-50]S14

Kotani, Toshiya [7974-32]SPS1

Kouno, Naoya [7970-39]S9Koyanagi, Hajime [7971-09]

S3Koyanagi, Yuji [7971-78]

SPS1Kozawa, Takahiro [7969-

93]SPS4, [7972-30]S8, [7972-54]SPS1, [7972-56]SPS1, [7972-62]SPS3, [7972-80]SPS4

Kramar, John A. [7971-28]S7

Krecinic, Faruk [7970-35]S8

Kreindl, Gerald [7970-57]S12

Krishnamurthy, Vandana [7972-26]S6, [7972-89]SPS4

Kritsun, Oleg [7971-35]S9, [7971-70]SPS1

Kruger, Seth [7969-90]SPS4, [7972-37]S9, [7972-37]S8

Krüger, Michael [7970-47]S10

Kry, Hong [7972-101]SPS5Krysak, Marie E. [7972-48]

S11Ku, Yao-Ching [7972-79]

SPS4, [7972-88]SPS4Ku, Yi-sha 7971

ProgCommKuan, Chieh-Hsiung [7971-

75]SPS1

Kuan, Chiyan [7969-45]S10Kubis, Michael [7973-36]

S10, [7973-36]S11Kubo, Shinji [7971-76]

SPS1Küchler, Bernd [7973-32]

S9, [7973-88]SPS6, [7973-90]SPS6

Kudo, Yuji [7971-17]S4Kuiper, Vincent [7970-12]

S3Kumaraswamy, Anand

[7974-11]S12, [7974-11]S3

Kunnen, Eddy [7973-62]SPS2

Kuo, David S. [7970-17]S5Kuo, Wan-Lin [7973-71]

SPS3Kupers, Michiel [7973-80]

SPS5Kurataka, Nobuo [7970-

17]S5Kuribara, Masayuki [7971-

73]SPS1Kuribayashi, Takaaki [7971-

62]S14Kurita, Hiroyuki [7971-51]

S12Kuroda, Yusuke [7969-98]

SPS5Kurokawa, Masaki [7970-

10]S3Kurosu, Akihiko [7969-07]

S3Kusnadi, Ir [7971-92]SPS1Kusonose, Hal [7971-56]

S13Kuwabara, Hajime [7969-

98]SPS5Kwa, Denny [7973-94]

SPS6Kwon, Ohseung [7970-30]

S7Kwong, Ranee [7972-40]

S10, [7972-46]S11Kye, Jongwook 7973

SPS2 SessChr, 7973 S5 SessChr, 7973 ProgComm, [7973-16]S4, [7974-12]S12, [7974-12]S3

Kyoh, Suigen [7969-52]S11, [7969-55]S12, [7974-15]S4

L

La Fontaine, Bruno M. 7969 Chr, [7969-51]S11, [7969-112]SPS5

LaBrake, Dwayne L. [7970-06]S2, [7970-08]S2, [7970-20]S5

Lacour, Pat J. [7973-65]SPS3

Laenens, Bart [7973-10]S3, [7973-26]S7, [7973-30]S8, [7973-53]S14

Lafferty, Neal V. [7972-48]S11, [7972-86]SPS4

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Page 66: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

64 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Lai, Jun-Cheng [7972-47]S11, [7973-71]SPS3

Lai, Kafai SC887 Inst, 7973 S13 SessChr, 7973 S7 SessChr, 7973 SPS3 SessChr, 7973 ProgComm, [7973-07]S2, [7973-11]S3

Laidler, David [7969-56]S12, [7971-32]S8, [7971-38]S9

Lalovic, Ivan [7973-80]SPS5

Lam, Michael [7969-27]S6, [7973-11]S3

Lam, Wai Sze [7973-45]S13

Lamers, Inge [7972-105]SPS6

Landie, Guillaume [7972-05]S2, [7972-21]S6, [7973-05]S2

Landoni, Cristian [7971-94]SPS1

Lange, Steve [7971-105]SPS1

Lapeyre, Céline [7973-17]S4

Laske, Frank [7971-05]S2, [7971-88]SPS1

Lattard, Ludovic [7973-17]S4

Laursen, Thomas [7969-33]S7, [7971-33]S8

Lawson, Margaret [7972-92]SPS5

Lebeau, Thierry [7970-25]S6

LeBrake, Dwayne [7972-18]S5

Lee, Byoung-Ho 7971 ProgComm, 7971 S14 SessChr, 7971 S3 SessChr, [7971-105]SPS1

Lee, Byoung-Hoon [7971-107]SPS1

Lee, Byunghoon [7969-33]S7

Lee, Byung-Kyu [7970-07]S2

Lee, Byung-Seok [7972-104]SPS6

Lee, Chien-Hsien S. [7972-91]SPS5

Lee, Duhyun [7970-07]S2Lee, Hae-Jeong [7971-54]

S13Lee, Jason [7973-80]SPS5Lee, Jeongjin [7971-50]S12Lee, Jin-Kyun [7972-23]S6Lee, Jinwoo [7974-31]

SPS1Lee, Jinwook [7972-75]

SPS4Lee, Ji-Young [7973-89]

SPS6Lee, Jonathan [7973-07]S2Lee, Jongsu [7971-107]

SPS1Lee, Jung June [7969-36]

S9, [7969-36]S8Lee, Junghyung [7972-59]

SPS2

Lee, Jung-Min [7971-42]S10

Lee, Ki-Dong [7970-40]S9Lee, Kilyoung [7972-25]S6Lee, Kim Y. [7970-17]S5Lee, Sang Hun [7969-61]

SPS2Lee, Sang-Hee [7973-33]

S9Lee, Sangon [7971-63]

SPS1Lee, Seungyoon [7971-50]

S12Lee, Shin-Chang [7969-47]

S10Lee, Sooryong [7969-26]S6Lee, Soo-ryoung [7973-90]

SPS6Lee, Sukjoo 7973

ProgComm, 7973 S3 SessChr, [7974-08]S2

Lee, Sung-Woo 7970 ProgComm

Lee, Su-Young [7969-14]S4

Lee, Taehyeong [7971-16]S4

Lee, Won-Chan [7973-87]SPS6

Lee, Woojin [7972-75]SPS4Lee, Yen-Min [7971-75]

SPS1Lee, Yong-ha [7971-42]S10Leeson, Michael J. [7969-

03]S1, [7969-61]SPS2, [7969-91]SPS4, [7970-66]SPS1

Leinhos, Uwe [7973-83]SPS5

Leong, Siew Yong [7971-48]S12

Leray, Philippe J. [7971-32]S8, [7971-38]S9, [7971-89]SPS1

Lercel, Michael J. 7969 ProgComm

Levi, Shimon [7971-15]S4, [7971-20]S5, [7974-36]SPS1

Levinson, Harry J. SympChair, [7973-16]S4, [7973-22]S5, [7974-12]S12, [7974-12]S3

Lewellen, John [7973-32]S9

Li, Albert C. [7971-60]S14Li, Bing [7972-68]SPS3Li, Hong [7973-47]S13Li, Jia-Han [7971-75]SPS1Li, Jiangwei [7973-09]S3Li, Jie [7971-35]S9, [7971-

70]SPS1Li, Liang [7973-09]S3Li, Xiaohai [7973-94]SPS6Li, Xiaoyang [7973-09]S3Li, Yang-Liang [7972-47]

S11Li, Ying [7969-48]S10,

[7969-64]SPS2, [7971-85]SPS1

Li, Yongjun [7972-71]SPS4Li, Zhiyong [7970-38]S9

Liang, Ted 7969 ProgComm, [7969-66]SPS2

Liao, Dongxiang [7970-20]S5

Liao, J. H. [7971-100]SPS1Liddle, J. A. 7970

ProgCommLiebmann, Lars W. 7974

ProgComm, 7974 S4 SessChr, [7974-19]S5

Light, Scott L. [7971-52]S12, [7972-13]S4

Lille, Jeffrey [7970-18]S5Lim, Changmoon [7971-

107]SPS1, [7969-57]SPS1

Lim, Chin-Teong [7973-74]SPS3

Lim, HongMeng [7971-50]S12

Lim, Jong-Ho [7973-82]SPS5

Lim, Yong-Hyun [7972-104]SPS6

Lin, Burn J. [7970-35]S8Lin, C. C. [7969-16]S4Lin, Chia-Chi [7972-47]

S11, [7973-71]SPS3Lin, Chia-Hua [7971-87]

SPS1Lin, Ching-Hung [7971-

100]SPS1Lin, Chua [7971-88]SPS1Lin, Guanyang [7972-38]

S9, [7972-38]S8Lin, Jason H. [7971-100]

SPS1Lin, Michael W. [7970-28]

S7Lin, Qinghuang SC992 Inst,

7972 S10 SessChr, 7972 ProgComm, [7972-46]S11

Lin, Qunying [7971-67]SPS1

Lin, Shy-Jay [7970-34]S8, [7970-35]S8

Lin, Timothy [7971-06]S2Lin, Ying [7969-06]S2,

[7969-06]S3Liniger, E. [7972-46]S11Litt, Lloyd C. 7970

ProgComm, [7970-05]S2, [7970-32]S8

Liu, Chen-Yu [7972-79]SPS4

Liu, Chi-Chun [7970-15]S4, [7970-15]S7

Liu, Chun-Hung [7971-75]SPS1

Liu, David [7970-36]S8, [7970-63]SPS1

Liu, Frank [7973-48]S13Liu, Guoliang [7970-21]S5Liu, Hua-yu [7973-09]S3,

[7973-68]SPS3Liu, Jingjing [7970-53]S12Liu, Juan [7972-84]SPS4Liu, Liang [7973-92]SPS6Liu, Sen [7972-15]S4Liu, Shiyuan [7973-57]

SPS1

Liu, Wei [7971-90]SPS1Liu, Wei [7973-57]SPS1Liu, Yanwei [7969-82]SPS3Liu, Yi [7972-06]S2Liu, Yongdong [7971-70]

SPS1Liu, Zhuan [7971-35]S9Loeffl er, Oliver [7971-88]

SPS1Loeschner, Hans 7970

ProgComm, [7970-11]S3, [7970-57]S12

Lombardi, Jack P. [7972-90]SPS5

Loopstra, Erik [7969-49]S11

Lorimer, Andrew [7972-72]SPS4

Lorusso, Gian F. [7969-27]S6, [7969-95]SPS4

Loscutoff, Paul W. [7972-52]SPS1

Lovchik, Robert D. [7972-17]S5

Lowes, Joyce A. [7972-78]SPS4

Lowisch, Martin [7969-49]S11

Lu, Daniel [7974-27]SPS1Lu, Junwei [7973-82]SPS5Lu, Yen-Wen [7973-09]S3Luan, Lan [7973-47]S13Lucas, Kevin [7969-26]S6,

[7974-20]S5Lucatorto, Thomas B.

[7969-18]S5, [7969-20]S5, [7969-110]SPS5

Ludovice, Peter J. [7970-22]S6

Lugg, Robert [7973-94]SPS6

Lyons, Adam [7973-40]S11Lytle, Wayne M. [7969-78]

SPS3

M

Ma, Eric L. [7969-45]S10, [7971-31]S8

Ma, Xu [7973-96]SPS6, [7973-97]SPS6

Ma, Yuangsheng [7973-16]S4, [7974-12]S12, [7974-12]S3

Ma, Zhenqiang [7970-15]S4, [7970-15]S7

Maas, Diederik J. [7969-31]S7, [7971-55]S13

Maas, Raymond [7972-105]SPS6

Mack, Chris A. SC116 Inst, [7969-43]S9, [7972-31]S8, [7974-10]S12, [7974-10]S3

Mackay, R. Scott 7970 ProgComm

Madhavan, Sriram [7974-38]SPS1

Madkour, Kareem [7974-06]S2

Maeda, Kazuhiko [7972-44]S10

Maeda, Shimon [7974-15]S4, [7974-32]SPS1

Maeda, Shinichi [7972-69]SPS3

Maeda, Tatsuya [7971-92]SPS1

Magoshi, Shunko [7969-24]S6, [7969-55]S12

Mahalingam, Pushpa [7974-22]SPS1

Maier, Carl [7973-05]S2Maillot, Philippe [7971-

36]S9Maki, Koich [7972-51]SPS1Makino, Katsushi [7973-

17]S4Malkes, Elena [7971-20]S5Mallmann, Joerg [7972-

105]SPS6Malloy, Matt [7970-05]S2Maltabes, John G. [7970-

30]S7Manakli, Serdar [7970-44]

S10Mangan, Shmoolik [7971-

58]S14Manickam, Mayandithevar

[7972-94]SPS5Mann, Klaus [7969-77]

SPS3, [7973-83]SPS5Mansfi eld, Scott [7973-11]

S3Many, Michael [7973-14]S4Manyam, Jedsada [7972-

94]SPS5Mao, Daxin [7973-61]SPS2Mao, Weidong [7970-54]

S12Marchelli, Anat [7971-45]

S10, [7971-45]S11Marcuccilli, Gino [7971-88]

SPS1Marek-Sadowska,

Malgorzata [7974-29]SPS1

Martin, Luc [7970-44]S10Martin, Mickael [7970-33]

S8Martinick, Brian N. [7973-

14]S4Marumoto, Hiroshi [7972-

105]SPS6Maruyama, Ken [7969-89]

SPS4Maruyama, Kotaro [7971-

16]S4März, Reinhard [7974-26]

SPS1Masashi, Yoshida [7971-

109]SPS1Maslow, Mark J. [7973-30]

S8Mason, Mark E. 7974 S1

SessChr, 7974 CoChr, [7974-03]S1

Massin, Jean [7973-108]SPS8

Masukawa, Kazuyuki [7973-06]S2

Matsubara, Isao [7973-45]S13

Matsuda, Osamu [7969-46]S10

Index of Authors, Chairs, and Committee Members

Page 67: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 65

Matsuda, Takashi [7973-41]S11

Matsui, Miyako [7971-14]S4

Matsui, Ryota [7973-08]S3Matsui, Yoshinori [7971-62]

S14Matsumaro, Kazuyuki

[7969-71]SPS3Matsumoto, Jun [7971-73]

SPS1Matsumoto, Yoko [7972-

67]SPS3Matsunaga, Kentaro [7969-

04]S2, [7969-04]S3, [7969-24]S6, [7969-52]S11, [7969-55]S12, [7972-08]S2, [7972-08]S3, [7972-11]S4

Matsunawa, Tetsuaki [7974-32]SPS1

Matsuyama, Tomoyuki [7973-08]S3, [7973-31]S8, [7973-52]S14

Matsuzawa, Kensuke [7972-20]S5

Matsuzawa, Nobuyuki N. 7972 ProgComm, 7972 S4 SessChr

Matyi, Richard [7972-37]S9, [7972-37]S8

Maurer, Wilhelm 7973 ProgComm, 7973 S8 SessChr

Mayya, K. Subramanya [7969-40]S9, [7969-42]S9

Mazzoleni, Ruben [7969-10]S3

Mbanaso, Chimaobi [7969-08]S3, [7969-74]SPS3, [7969-90]SPS4

McCallum, Martin [7973-17]S4

McClain, Stephen C. [7973-45]S13

McClinton, Brittany M. [7969-25]S6, [7969-68]SPS2, [7969-69]SPS2, [7969-70]SPS2

McCord, Mark A. [7970-43]S10, [7970-64]SPS1

McCormack, Tom D. [7969-96]SPS5

McGarvey, Steve A. [7971-102]SPS1

McIntyre, Gregory R. [7969-28]S6, [7969-30]S7, [7973-05]S2

McKinnie, Iain T. [7969-113]SPS5

Mclellan Martin, Erin [7972-42]S10, [7973-05]S2

Mebarki, Bencherki [7971-91]SPS1, [7973-15]S4

Mecerreyes, David [7970-24]S6

Medeiros-Ribeiro, Gilberto [7970-38]S9

Meessen, Jeroen [7971-55]S13

Megens, Henry J. [7973-26]S7, [7973-36]S10, [7973-36]S11

Mehrotra, Prateek [7970-55]S12

Mehta, Sanjay [7973-22]S5Mei, Ping [7970-41]S9Meiling, Hans [7969-49]S11Meiring, Jason [7973-11]S3Melchior, John T. [7973-54]

S14Melville, David O. [7973-07]

S2, [7973-11]S3Mercier Ythier, Renaud

[7969-09]S3Mertens, Paul W. [7969-

19]S5Meshulach, Doron [7971-

15]S4Metz, Andrew W. [7973-

14]S4Miao, Liyan [7970-14]S4,

[7970-14]S7, [7973-60]SPS2, [7973-61]SPS2, [7973-63]SPS2, [7973-64]SPS2

Mieher, Walter D. WorkshopChair

Mikeska, Brian P. [7971-08]S3

Mikihiko, S. [7970-57]S12Mikoshiba, Satoshi [7970-

58]SPS1Miller, Anne [7971-102]

SPS1Miller, Clint [7971-90]SPS1Miller, Robert [7972-46]S11Miller, Ronald J. [7970-57]

S12Milster, Tom D. [7973-45]

S13Mimotogi, Shoji [7974-32]

SPS1Min, Young-Hong [7971-

59]S14Minakawa, Tsuyoshi [7971-

23]S6Minghetti, Blandine [7973-

28]S7Minnaert-Janssen, Ingrid

[7971-58]S14Mirsaeedi, Minoo [7974-

23]SPS1Misaka, Akio [7973-41]S11Miura, Akihiro [7971-77]

SPS1Miura, Toshinori [7969-22]

S5Miyagi, Tadashi [7969-86]

SPS4Miyairi, Masahiro [7974-32]

SPS1Miyakawa, Ryan H. [7969-

117]SPS6Miyakawa, Takahiro [7970-

56]SPS1Miyake, Akira 7969

ProgCommMiyazaki, Koji [7971-56]

S13Mizoguchi, Hakaru [7969-

07]S3, [7969-99]SPS5, [7969-100]SPS5

Mizuno, Hideki [7974-07]S2Mizuno, Hiroyuki [7969-88]

SPS4

Mizuno, Takeshi [7971-07]S3, [7971-77]SPS1

Mizuno, Yasushi [7973-52]S14

Mochi, Iacopo [7969-01]S1, [7969-13]S4, [7969-34]S7, [7969-67]SPS2

Mohri, Hiroshi [7969-45]S10

Moine, Laurent [7969-09]S3

Mokhberi, Ali [7973-99]SPS6

Molkenboer, Freek [7969-31]S7

Monreal, Victor [7972-106]SPS6

Montal, Ofi r [7971-15]S4, [7971-79]SPS1

Montgomery, M. Warren [7969-25]S6, [7969-37]S9, [7969-37]S8, [7972-48]S11, [7972-86]SPS4

Moon, James J. [7969-05]S2, [7969-05]S3, [7972-25]S6, [7973-89]SPS6, [7973-95]SPS6

Moon, Seongho [7973-32]S9, [7973-90]SPS6

Morgan, Gabe [7969-81]SPS3

Morgan, Stephen [7971-107]SPS1

Morgenfeld, Bradley [7973-44]S12, [7973-44]S3, [7974-13]S12, [7974-13]S3

Mori, Hiroyuki [7972-64]SPS3

Mori, Ichiro [7969-17]S4, [7969-53]S12, [7969-55]S12

Mori, Takayoshi [7972-18]S5

Morimoto, Yukihiro [7972-67]SPS3

Morita, Akihiko [7969-86]SPS4

Morita, Hiroshi [7972-33]S8Morita, Kenji [7969-23]S6Morita, Masamichi [7972-

53]SPS1Moriya, Masato [7969-99]

SPS5Moriyasu, Kengo [7972-67]

SPS3Morris, Daniel [7974-17]S4Morris, Oran [7969-104]

SPS5, [7969-105]SPS5, [7969-106]SPS5

Morton, Robert D. [7973-17]S4

Mostafa, Salma S. [7974-06]S2

Mouyal, Kiran Ben [7971-91]SPS1

Mühlberger, Michael [7970-57]S12

Mulkens, Jan [7973-29]S8, [7973-51]S14

Mullen, Salem [7972-38]S9, [7972-38]S8

Munir, Saghir [7971-103]SPS1

Murakami, Katsuhiko 7969 ProgComm, [7969-21]S5, [7969-23]S6

Murakawa, Masahiro [7974-32]SPS1

Murakawa, Tsutomu [7971-73]SPS1

Muramatsu, Makoto [7970-50]S11, [7972-77]SPS4

Murano, Koji [7969-55]S12Murray, Christopher B.

[7970-49]S11Murugesan Kuppuswamy,

VijayaKumar [7971-25]S6

Myers, Alan [7969-119]SPS2

Myers, Dave W. [7969-51]S11, [7969-80]SPS3, [7969-112]SPS5

N

Na, Hai-Sub [7969-40]S9, [7969-42]S9

Nachman, Ramez [7974-38]SPS1

Nafus, Kathleen [7969-03]S1, [7969-35]S9, [7969-35]S8, [7969-115]SPS6, [7972-105]SPS6

Nagahara, Seiji [7971-62]S14

Nagai, Shinji [7969-100]SPS5

Nagano, Hitoshi [7969-100]SPS5

Nagarekawa, Osamu 7970 ProgComm

Nagasono, Mitsuru [7972-56]SPS1

Nagaswami, Venkat R. [7971-05]S2, [7971-88]SPS1

Nagatomo, Wataru [7971-109]SPS1

Nagpal, Umang [7970-69]S12

Nair, Vinay [7972-64]SPS3Najmabadi, Farrokh [7969-

108]SPS5Nakadate, Suezou [7973-

106]SPS7Nakagawa, Hiroki [7972-

55]SPS1Nakagawa, Kei [7974-32]

SPS1Nakagawa, Seiji [7972-101]

SPS5Nakajima, Makoto [7972-

76]SPS4Nakajima, Mitsuo [7969-98]

SPS5Nakajima, Yasuyuki [7972-

63]SPS3Nakajima, Yumi [7969-52]

S11, [7969-55]S12Nakamura, Hiroko [7970-

58]SPS1

Nakamura, Takayuki [7971-73]SPS1

Nakano, Hiroyuki [7971-109]SPS1

Nakao, Massashi [7972-49]S11, [7972-93]SPS5

Nakarai, Hiroaki [7969-07]S3

Nakashima, Noboru [7972-105]SPS6

Nakasugi, Tetsuro [7973-06]S2

Nakayama, Yoshinori [7971-83]SPS1

Nam, Byong-Sub [7973-89]SPS6, [7973-95]SPS6

Nam, Inho [7974-25]SPS1Nam, Jeong-Lim [7973-32]

S9, [7973-93]SPS6Namai, Hayato [7972-28]

S4, [7972-28]S7Natori, Sakurako [7972-10]

S4, [7972-98]SPS5Natt, Oliver [7969-95]SPS4Naulleau, Patrick P. SC888

Inst, 7969 CoChr, [7969-02]S1, [7969-13]S4, [7969-25]S6, [7969-38]S9, [7969-39]S9, [7969-66]SPS2, [7969-68]SPS2, [7969-69]SPS2, [7969-70]SPS2, [7969-116]SPS6, [7969-117]SPS6, [7972-01]S1

Navarro, Christophe [7970-25]S6

Ndoye, Coumba [7970-52]S11

Nealey, Paul F. [7970-15]S4, [7970-15]S7, [7970-21]S5, [7970-69]S12

Neira, Imanol [7969-92]SPS4, [7972-07]S2, [7972-07]S3

Neisser, Mark [7972-38]S9, [7972-38]S8, [7972-91]SPS5

Nelson, Alshakim [7972-46]S11

Nesterenko, Viatcheslav [7969-20]S5, [7969-110]SPS5

Neumann, Jens Timo [7973-30]S8

Neumayer, D. [7972-46]S11

Neureuther, Andrew R. [7969-67]SPS2

Ng, Philip C. [7971-75]SPS1

Ng, Susan [7971-02]S2, [7971-37]S9

Ngai, Christopher S. 7969 ProgComm, [7971-15]S4, [7971-58]S14, [7971-79]SPS1, [7971-91]SPS1, [7973-24]S6, [7973-60]SPS2, [7973-61]SPS2, [7973-63]SPS2, [7973-64]SPS2, [7973-99]SPS6

Ngo, Yit Sung [7971-03]S2

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Page 68: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

66 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Niekrewicz, Brian [7969-33]S7

Niimi, Gota [7969-103]SPS5

Nishida, Akio [7971-18]S5Nishinaga, Hisashi [7973-

31]S8Nishino, Hiroyuki [7971-56]

S13Nishino, Kouta [7969-89]

SPS4Nishiyama, Iwao 7969

ProgComm, [7969-22]S5, [7969-76]SPS3

Nishiyama, Yasushi [7971-11]S3, [7971-73]SPS1

Noble, Hannah D. [7973-45]S13

Nogami, Kouhei [7973-106]SPS7

Nojima, Shigeki [7974-32]SPS1

Nomura, Hiroshi [7973-59]SPS1

Nomura, Kazushi [7969-23]S6

Nomura, Satoshi [7969-71]SPS3

Nonami, Yuuji [7973-41]S11

Nosato, Hirokazu [7974-32]SPS1

Nose, Hiroyuki [7969-98]SPS5

Novak, Erik L. [7971-28]S7Novikova, Tatiana [7971-

39]S9Nowak, Krzysztof M.

[7969-99]SPS5Nozawa, Hiroto [7971-56]

S13Nozoe, Mari [7969-17]S4,

[7971-76]SPS1

O

O´Riain, Lincoln [7971-82]SPS1

Ober, Christopher K. [7970-23]S6, [7972-04]S2, [7972-23]S6, [7972-45]S11, [7972-48]S11, [7972-81]SPS4, [7972-100]SPS5

O’Brien, Kevin [7973-78]SPS4, [7973-79]SPS4

Ockwell, David C. [7969-49]S11

Ogasawara, Mitsuo [7971-21]S5

Ogasawara, Satoshi [7973-71]SPS3

Ogata, Taro [7973-31]S8Ogata, Toshiyuki [7972-

14]S4, [7972-18]S5, [7972-71]SPS4

Ogawa, Ryuji [7974-32]SPS1

Ogawa, Takashi [7969-46]S10

Ogawa, Tsuyoshi [7970-28]S7

Oh, Hye-Keun [7973-102]SPS6, [7974-33]SPS1

Oh, Kyung-Seok [7974-25]SPS1

Oh, Seok-Hwan [7971-19]S5, [7971-46]S10, [7971-46]S11

Ohashi, Haruhiko [7972-56]SPS1

Ohji, Yuzuru [7971-21]S5Ohkubo, Yukiharu [7969-

23]S6Ohmura, Yasuhiro [7973-

31]S8Ohnishi, Ryuji [7969-85]

SPS4Ohta, Hiroya [7971-34]S8Ohta, Takeshi [7969-07]S3,

[7969-99]SPS5Oikawa, Keita [7972-56]

SPS1Oikawa, Michio [7971-23]

S6Oizumi, Hiroaki [7969-04]

S2, [7969-04]S3, [7969-71]SPS3, [7972-08]S2, [7972-08]S3, [7972-30]S8

Okada, Yu [7972-73]SPS4Okamoto, Kazumasa

[7972-56]SPS1, [7972-62]SPS3

Okamoto, Kazuya [7971-17]S4

Okamura, Haruyuki [7972-51]SPS1, [7972-63]SPS3

Okazaki, Shinji 7969 ProgComm

Okitou, Haruki [7971-07]S3Okoroanyanwu,

Uzodinma 7969 ProgComm, [7969-06]S2, [7969-06]S3, [7969-30]S7

Olson, Adam [7972-64]SPS3

Omura, Mitsuhiro [7969-52]S11

Ono, Shiano [7971-21]S5Oomatsu, Tadashi [7970-

27]S7Ooms, Thomas [7970-12]

S3Oori, Tomoya [7972-11]S4Oostrom, Sjoerd [7969-60]

SPS2, [7969-62]SPS2Ootera, Yasuaki [7970-19]

S5O’Reilly, Fergal [7969-96]

SPS5Oria, Lorea [7970-24]S6Orlowski, Marius [7970-52]

S11Orshansky, Michael [7973-

13]S4Osborn, Brian [7972-15]S4

Oshikiri, Tomoya [7972-69]SPS3

Oshima, Akihiro [7969-93]SPS4, [7972-20]S5, [7972-36]S9, [7972-36]S8, [7972-80]SPS4

Oshino, Tetsuya [7969-21]S5, [7969-23]S6

Osten, Wolfgang [7971-106]SPS1

Ostermayr, Martin [7974-13]S12, [7974-13]S3

Ostrow, Scott A. [7972-90]SPS5

O’Sullivan, Gerry D. [7969-96]SPS5, [7969-97]SPS5

Ota, Kazuya [7969-65]SPS2, [7969-114]SPS6

Otsu, Nobuyuki [7974-32]SPS1

Otsuka, Takamitsu [7969-97]SPS5

Ou, Fung-Suong [7970-38]S9

Ou, Yongying [7972-68]SPS3

Ouyang, Christine Y. [7972-23]S6, [7972-81]SPS4

Owa, Soichi 7973 ProgComm, 7973 S14 SessChr, 7973 S8 SessChr

Owen, Timothy [7971-33]S8

Owe-Yang, Dah-Chung 7972 ProgComm, 7972 S4 SessChr

Oyama, Kenichi [7972-10]S4, [7972-98]SPS5

Oyama, Tomoko G. [7972-36]S9, [7972-36]S8

P

Paek, Seung Weon [7974-21]S5, [7974-31]SPS1

Pai, Y. C. [7971-80]SPS1, [7972-99]SPS5

Pain, Laurent 7970 ProgComm, [7970-33]S8, [7970-44]S10, [7970-46]S10

Palmer, Richard [7972-94]SPS5

Pan, David Z. [7974-20]S5Pang, Linyong [7969-48]

S10, [7969-64]SPS2, [7971-85]SPS1

Paredes, Florencia [7972-45]S11

Pargon, Erwine [7972-41]S10

Parikh, Ashesh [7971-24]S6, [7974-22]SPS1

Park, Chang-Min [7969-40]S9, [7969-42]S9, [7970-07]S2

Park, Chan-Ha [7971-59]S14, [7971-68]SPS1

Park, Cheolhong [7969-40]S9, [7969-42]S9

Park, Chris K. [7973-82]SPS5

Park, Chul-Hong 7974 SPS1 SessChr, 7974 ProgComm, [7974-20]S5, [7974-35]SPS1

Park, Deajin [7973-27]S7Park, Dong-Woon [7973-

49]S13Park, Jeong-Geun [7974-

33]SPS1Park, Jeongsu [7971-107]

SPS1, [7971-68]SPS1Park, Ji-Soong [7971-06]S2Park, Jongcheon [7973-

109]SPS8Park, Joung Il [7974-21]S5Park, Jun-Taek [7969-57]

SPS1Park, Min-Joon [7969-42]

S9Park, Sangho [7971-16]S4Park, Sang-Il [7971-42]S10Park, Sarohan [7971-107]

SPS1Park, Seh-Jin [7969-61]

SPS2Park, Seung-Hoon [7973-

82]SPS5Park, Sungho [7973-102]

SPS6Park, Sung-Ho [7971-06]S2Park, Sungki [7971-107]

SPS1, [7969-57]SPS1, [7971-16]S4, [7971-68]SPS1, [7972-25]S6, [7973-89]SPS6, [7973-95]SPS6, [7973-109]SPS8

Partlo, William N. [7969-51]S11, [7969-112]SPS5

Partlow, Matthew J. [7969-107]SPS5

Patel, Dilip [7971-43]S10Patel, Kanaiyalal [7970-18]

S5, [7970-21]S5Patoz, Vincent [7969-09]S3Paunescu, Margareta

[7972-60]SPS2Peeters, Rudy [7969-49]

S11Peng, Bo [7973-76]SPS3Peng, Danping [7969-48]

S10, [7969-64]SPS2, [7971-85]SPS1

Peng, Huagen [7969-06]S2, [7969-06]S3

Peng, Yue [7969-95]SPS4Pereira, Silvania F. [7971-

104]SPS1Pérez-Murano, Francesc

[7970-24]S6Perlov, Craig [7970-30]S7Perlovitch, Renana [7971-

91]SPS1Peroz, Christophe [7970-

31]S7Perraud, Loic [7969-59]

SPS1Peter, Kai [7974-26]SPS1Peters, Jan-Hendrik 7969

ProgComm, [7971-61]S14

Petric, Paul [7970-43]S10, [7970-64]SPS1

Petrillo, Karen E. [7969-06]S2, [7969-06]S3, [7969-37]S9, [7969-37]S8, [7972-15]S4, [7972-19]S5, [7972-21]S6, [7972-37]S9, [7972-37]S8, [7972-42]S10, [7973-14]S4

Pfeiffer, Hans C. SC991 Inst, [7970-09]S3

Pham, Tuan D. [7973-03]S1Pierrat, Christophe [7973-

42]S11, [7973-91]SPS6Pietromonaco, David

[7974-19]S5Pigneret, Charles [7969-56]

S12Pikus, Fedor G. [7974-29]

SPS1Pileggi, Larry 7974

ProgComm, 7974 S2 SessChr, [7974-17]S4

Ping, Yang [7973-94]SPS6Pistor, Thomas V. [7969-

41]S9Pitera, Jed W. [7972-27]S4,

[7972-27]S7Platzgummer, Elmar

[7970-11]S3, [7970-57]S12

Pollentier, Ivan K. A. [7969-92]SPS4, [7972-07]S2, [7972-07]S3

Polo, Alessandro [7971-104]SPS1

Popova, Irene Y. [7972-40]S10, [7972-101]SPS5

Postma, Sjoerd [7970-12]S3

Potzick, James E. [7971-28]S7

Prabhu, Vivek M. [7969-06]S2, [7969-06]S3

Preece, Jon [7972-94]SPS5Prescop, Ted [7970-36]S8,

[7970-63]SPS1Purushothaman, Sampath

[7972-46]S11Putna, Steve [7969-61]

SPS2, [7969-91]SPS4Pyo, Yu-Jin [7974-39]SPS1

Q

Qiu, Jianhong [7973-92]SPS6

Qiu, Zicheng [7973-76]SPS3

Quatela, Nicola [7971-81]SPS1

Quinthanilha, Richard [7971-12]S4

Index of Authors, Chairs, and Committee Members

Page 69: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 67

R

Raghunathan, Sudharshanan [7969-28]S6, [7969-30]S7

Ramos, Rick [7970-20]S5Rana, Narender [7971-02]

S2, [7971-41]S10Rananavare, Shankar

[7972-70]SPS4, [7972-72]SPS4

Rao, Rajasekhar [7973-78]SPS4, [7973-54]S14

Rastegar, Abbas [7969-33]S7, [7971-33]S8

Rathore, J. S. [7972-46]S11Rathsack, Benjamen M.

7970 ProgCommRaub, Alex K. [7970-15]

S4, [7970-15]S7Rawlings, Brandon [7972-

14]S4, [7972-18]S5, [7972-71]SPS4

Raymond, Christopher J. 7971 Chr, 7971 S SessChr, 7971 S1 SessChr, 7971 S9 SessChr

Reilly, Michael [7972-32]S8, [7972-35]S8, [7972-95]SPS5

Rekawa, Senajith B. [7969-34]S7

Ren, Liping [7969-37]S9, [7969-37]S8, [7971-72]SPS1

Resnick, Douglas J. SC622 Inst, 7970 ProgComm, [7970-06]S2, [7970-08]S2, [7970-20]S5

Rettner, Charles [7972-27]S4, [7972-27]S7

Rezk, Peter L. [7974-06]S2, [7974-30]SPS1

Richter, Lee J. [7969-110]SPS5

Riddle-Vogt, Sarah [7971-94]SPS1

Rieger, Michael L. 7973 S12 SessChr, 7974 S1 SessChr, 7974 Chr

Riepen, Michel [7973-84]SPS5

Riggs, Daniel J. [7973-54]S14, [7973-79]SPS4

Rigolli, Pierluigi [7971-81]SPS1

Ristau, Detlev [7969-79]SPS3

Robert, Frederic [7969-59]SPS1

Robertson, Stewart A. [7969-05]S2, [7969-05]S3, [7972-32]S8, [7972-35]S8, [7973-20]S5

Robinson, Alex P. [7972-94]SPS5

Robinson, Christopher F. [7973-05]S2, [7973-28]S7

Robinson, John C. 7971 S4 SessChr, 7971 SPS1 SessChr, 7971 ProgComm, [7971-05]S2, [7971-45]S10, [7971-45]S11, [7971-51]S12, [7971-78]SPS1, [7971-80]SPS1

Rocca, Jorge J. 7969 ProgComm

Rode, Patrick [7970-40]S9Rodgers, Martin [7973-14]

S4Roethe, Klaus-Dieter

[7971-05]S2Roh, Hyo Jung [7972-65]

SPS3Rokitski, Slava [7973-54]

S14Rollinger, Bob [7969-104]

SPS5, [7969-106]SPS5Ronse, Kurt G. 7969

ProgComm, [7970-64]SPS1

Rosenbluth, Alan E. [7973-07]S2, [7973-11]S3

Ross, Caroline A. [7970-26]S6

Routh, Robert M. [7973-14]S4

Rovner, Vyacheslav V. [7974-17]S4

Rozentsvige, Moshe [7971-15]S4, [7971-79]SPS1

Rozzoni, Laura [7971-81]SPS1

Rudack, Andrew C. [7971-56]S13

Ruiz, Ricardo [7970-18]S5, [7970-21]S5

Ruiz de Luzuriaga, Alaitz [7970-24]S6

Russell, Gordon [7971-06]S2

Russell, Thomas P. [7970-03]S1

Ruzic, David N. [7969-78]SPS3, [7969-80]SPS3

Ryan, Deborah [7974-11]S12, [7974-11]S3

Ryang, Kyung-Deuk [7971-42]S10

Ryu, Chan-Ho [7971-107]SPS1

Ryu, Sang-gil [7970-70]SPS1

S

Sagiv, Amir [7971-58]S14Saito, Tamaki [7974-32]

SPS1Sakamoto, Rikimaru [7969-

85]SPS4Sakanashi, Hidenori [7974-

32]SPS1Sallee, Chris [7971-08]S3Salmassi, Farhad H. [7969-

13]S4, [7969-82]SPS3, [7969-119]SPS2

Salvetat, Vincent [7973-17]S4

Sanchez, Martha I. 7971 ProgComm, 7971 S3 SessChr, 7971 S5 SessChr

Sanders, Daniel P. 7970 S4 SessChr, [7970-14]S4, [7970-14]S7, 7972 S7 SessChr, 7972 ProgComm, [7972-27]S4, [7972-27]S7, [7972-28]S4, [7972-28]S7

Sanderse, Martijn [7970-45]S10

Sandstrom, Richard L. [7969-51]S11, [7969-112]SPS5

Sankarapandian, Muthumanickam [7972-42]S10

Santillan, Julius J. [7969-04]S2, [7969-04]S3, [7969-71]SPS3, [7972-08]S2, [7972-08]S3, [7972-16]S5, [7972-53]SPS1

Sarkar, Sankha [7973-07]S2

Sarma, Chandra [7973-40]S11

Sasago, Masaru [7973-41]S11

Sasaki, Toshiyuki [7969-52]S11

Sato, Hiroto [7969-101]SPS5, [7969-103]SPS5

Sato, Kazufumi [7972-18]S5, [7972-20]S5

Sato, Kazuhiro [7970-56]SPS1

Sato, Osamu [7971-56]S13Sato, Shinji [7973-50]S14Sato, Takashi [7970-29]S7Savari, Serap [7970-65]

SPS1Scaduto, Anthony F. [7973-

05]S2Scaiano, Juan C. [7972-72]

SPS4Scally, Enda [7969-96]

SPS5Scarpazza, Daniele P.

[7973-07]S2Schäfer, Bernd [7973-83]

SPS5Schellenberg, Frank M.

7970 ProgCommSchmöller, Thomas [7969-

58]SPS1, [7973-32]S9, [7973-90]SPS6, [7973-98]SPS6

Schneider, Jens [7971-82]SPS1

Schoeftner, Rainer [7970-57]S12

Schöneck, Matthias [7973-83]SPS5

Schreel, Koen [7973-26]S7, [7973-53]S14

Schreiber, Horst [7969-75]SPS3

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Schuermann, Max C. [7969-50]S11, [7969-110]SPS5

Schulz, Bernd [7971-45]S10, [7971-45]S11

Schürmann, Mark [7969-20]S5, [7969-110]SPS5

Schwartz, Evan L. [7970-23]S6, [7972-48]S11

Seino, Yuriko [7970-50]S11, [7970-58]SPS1

Seki, Koichi [7974-07]S2Sekiguchi, Atsushi [7972-

67]SPS3Sekiguchi, Atsushi [7972-

80]SPS4Self, Andrew [7971-30]S8Selinidis, Kosta S. [7970-

08]S2Senba, Yasunori [7972-56]

SPS1Sendelbach, Matthew

[7971-02]S2, [7971-37]S9

Senna, Tasuku [7972-17]S5Sentoku, Koichi [7970-56]

SPS1Seo, Hwan-Seok [7969-

01]S1Ser, Jung-Hoon [7969-26]

S6, [7973-32]S9, [7973-87]SPS6, [7973-90]SPS6

Shabtay, Saar [7971-98]SPS1

Shacham-Diamand, Yosi [7971-20]S5

Shah, Uday [7969-91]SPS4Shamsuarov, Artem [7973-

32]S9Shan, Jianhui [7972-38]S9,

[7972-38]S8Shao, Dongbing [7973-40]

S11Shao, Feng [7973-23]S6,

[7973-81]SPS5Shao, Wenjin [7973-26]S7,

[7973-53]S14, [7973-82]SPS5, [7973-92]SPS6

Sharma, Shalini [7972-78]SPS4

Shauly, Eitan N. [7971-20]S5, [7974-36]SPS1

Sheehan, Michael T. [7972-29]S4, [7972-29]S7

Shen, Kyle [7971-100]SPS1Shen, Quantong [7969-72]

SPS3Shen, Yu-Tian [7971-75]

SPS1Sheridan, Paul [7969-96]

SPS5Sherman, Boris [7971-02]

S2, [7971-37]S9Shi, Min [7973-103]SPS7Shi, Xiaokang [7973-48]

S13Shiba, Yuuji [7973-34]S10,

[7973-34]S11Shibahara, Takuma [7971-

23]S6Shibazaki, Yuichi [7973-50]

S14

Shibuya, Masato [7973-106]SPS7

Shida, Soichi [7971-73]SPS1

Shiely, James P. [7969-58]SPS1, [7973-88]SPS6

Shigemura, Hiroyuki [7969-17]S4, [7969-46]S10, [7971-76]SPS1

Shih, Chiang-Lin [7972-12]S4

Shih, Steven [7972-12]S4Shim, Hyunkyung [7972-

25]S6Shim, Seong-Bo [7973-90]

SPS6, [7974-33]SPS1Shim, Yeon-Ah [7973-100]

SPS6Shimada, Takuya [7970-

19]S5Shimizu, Tadami [7973-41]

S11Shimoaoki, Takeshi [7972-

105]SPS6Shimomura, Takeya [7969-

45]S10Shin, Jong-Chan [7973-49]

S13Shinada, Hiroyuki [7971-

21]S5Shindo, Hiroyuki [7971-23]

S6Shiobara, Eishi [7972-11]

S4Shiota, Takeshi [7973-31]

S8Shirai, Masamitsu [7972-

51]SPS1, [7972-63]SPS3Shirai, Seiichiro [7969-24]

S6Shiraishi, Gousuke [7969-

04]S2, [7969-04]S3, [7972-08]S2, [7972-08]S3

Shiraishi, Masayuki [7969-21]S5

Shirasaki, Hirokimi [7971-65]SPS1

Shirata, Yosuke [7973-34]S10, [7973-34]S11

Shishido, Chie [7971-32]S8, [7971-64]SPS1

Shite, Hideo [7969-35]S9, [7969-35]S8, [7969-115]SPS6

Sho, Koutaro [7972-11]S4Shobha, H. [7972-46]S11Shojou, Tomoyasu [7971-

34]S8Shoki, Tsutomu 7969

ProgCommShroff, Yashesh A. [7969-

119]SPS2Si, Wei [7973-09]S3Siany, Amit [7971-20]S5Sierra, Reyes [7972-81]

SPS4Siew, Yong Kong [7973-62]

SPS2Silova, Marianna [7969-18]

S5, [7969-92]SPS4

Page 70: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

68 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Silver, Richard M. 7971 S12 SessChr, 7971 S13 SessChr, 7971 ProgComm, [7971-12]S4, [7971-40]S10, PanelModerator

Sim, Hak-Yong [7973-95]SPS6

Sim, Woojoo [7973-56]SPS1

Simmons, Mark [7974-21]S5

Simmons, Sean [7972-89]SPS4

Singh, Lovejeet [7970-06]S2

Singh, Vivek K. 7974 ProgComm, 7974 S4 SessChr

Sinha, Jaydeep K. [7971-66]SPS1

Sinn, Robert [7973-66]SPS3

Sinta, Roger [7972-68]SPS3

Sitzmann, Wolfgang [7971-45]S10, [7971-45]S11

Sivakumar, Sam 7973 ProgComm, 7973 SPS3 SessChr, 7973 S14 SessChr

Sizyuk, Tatyana [7969-12]S3

Sizyuk, Valeryi A. [7969-12]S3

Slezak, Mark [7972-15]S4, [7972-78]SPS4

Slodowski, Matthias [7970-13]S3

Smayling, Michael C. [7970-36]S8, [7973-10]S3, [7973-12]S3, [7973-39]S11, [7973-67]SPS3

Smelko, Thomas J. [7974-22]SPS1

Smith, Bruce W. [7972-48]S11, [7972-86]SPS4, 7973 S11 SessChr, 7973 SPS6 SessChr, 7973 ProgComm, [7973-01]S1, [7973-46]S13

Smith, Daniel G. [7973-08]S3

Smith, Donald K. [7969-107]SPS5

Smith, Jeffrey T. [7970-14]S4, [7970-14]S7

Smith, Mark D. [7969-05]S2, [7969-05]S3, [7969-43]S9, [7969-63]SPS2

Smith, Mark [7970-30]S7Smith, Mark D. [7972-31]

S8Smith, Nathan S. [7969-25]

S6, [7969-34]S7Snajdr, Martin [7973-30]S8Snel, Rob [7969-62]SPS2Socha, Robert J. [7973-

04]S2, [7973-25]S7Sohn, Yeung-Joon [7971-

12]S4Solecky, Eric [7971-10]S3

Soles, Christopher L. [7969-06]S2, [7969-06]S3, [7971-54]S13, PanelModerator

Somervell, Mark H. 7972 S SessChr, 7972 S1 SessChr, 7972 CoChr

Son, Dong-Hwan [7973-47]S13, [7974-08]S2

Son, Jeong Gon [7970-26]S6

Song, Chulgi [7971-105]SPS1

Song, Hua [7969-58]SPS1, [7973-88]SPS6

Song, Yan-Lin [7970-68]SPS1

Sooriyakumaran, Ratnam [7972-28]S4, [7972-28]S7, [7972-43]S10, [7972-46]S11

Soulan, Sebastien [7970-46]S10

Soumagne, Georg [7969-100]SPS5

Sourd, Claire [7972-41]S10Spanos, Costas J. [7974-

24]SPS1Sparka, Christian [7971-45]

S10, [7971-45]S11Spaziani, Nicolas [7973-

108]SPS8Spear-Alfonso, Kathleen

[7969-36]S9, [7969-36]S8

Spooner, T. [7972-46]S11Sporre, John [7969-80]

SPS3Sreenivasan, S. V. [7970-

06]S2, [7970-08]S2, [7970-20]S5, SC622 Inst

Sridharan, Kumar [7970-53]S12

Srivastava, Ravi P. [7971-37]S9

Srivastava, Shailendra N. [7969-112]SPS5

Staals, Frank [7973-51]S14Standaert, Theodorus

[7971-31]S8Starikov, Alexander

7971 CoChr, 7971 S11 SessChr, 7971 S1 SessChr, 7971 S2 SessChr, 7973 S10 SessChr

Steiner, Philip [7970-17]S5Steinhoff, Jens A. [7969-

18]S5, [7969-92]SPS4Stobert, Ian [7973-44]S12,

[7973-44]S3Stock, Hans-Jürgen [7973-

88]SPS6Stoeldraijer, Judon M. D.

[7969-49]S11Stoffels, Fred [7973-30]S8Stokowski, Stanley E.

7969 ProgCommStolberg, Ines A. 7970

ProgComm, [7970-13]S3Stortelder, Jetske [7969-

60]SPS2, [7969-62]SPS2Stowell, John [7970-41]S9

Stowers, Jason K. [7969-39]S9

Strassburg, Martin [7970-40]S9

Strenski, Phil [7973-07]S2Strojwas, Andrzej J. [7974-

04]S2, [7974-17]S4Strößner, Ulrich [7969-15]

S4Struyf, Herbert [7969-19]S5Sturtevant, John L.

[7971-92]SPS1, 7974 ProgComm, 7974 S5 SessChr

Styduhar, Mark [7974-11]S12, [7974-11]S3

Su, Irene Y. [7969-58]SPS1Suga, Osamu [7969-17]S4,

[7969-22]S5, [7969-29]S7, [7969-46]S10, [7969-65]SPS2, [7969-76]SPS3, [7969-114]SPS6, [7971-76]SPS1

Sugahara, Hitoshi [7971-23]S6

Suganuma, Takashi [7969-99]SPS5

Suh, Sungsoo [7974-33]SPS1

Sullivan, Daniel [7972-26]S6, [7972-89]SPS4

Sumitani, Akira [7969-07]S3, [7969-99]SPS5, [7969-100]SPS5

Sumiyoshi, Takashi [7972-56]SPS1, [7972-62]SPS3

Sun, Gang [7971-96]SPS1, [7973-85]SPS5

Sun, Jeff [7970-64]SPS1Sun, Jibin [7972-06]S2Sun, Shiyu [7973-61]SPS2Sun, Wenfeng [7973-103]

SPS7Sun, Wenjie [7972-81]SPS4Sun, Yuyang [7973-92]

SPS6Sundaresan, Arun K. [7972-

14]S4, [7972-71]SPS4Sundberg, Linda K. [7972-

17]S5, [7972-43]S10Sung, Jin Wuk [7972-40]

S10Susa, Tomohiko [7973-35]

S10, [7973-35]S11Suyama, Sadayasu [7969-

86]SPS4Suzuki, Akiyoshi [7970-

04]S2Suzuki, Hiroshi [7971-34]

S8Suzuki, Kazuaki 7969

ProgCommSuzuki, Kenta [7972-20]S5Suzuki, Kouta [7970-29]S7Sweis, Jason [7973-16]S4,

[7974-12]S12, [7974-12]S3

Swindler, David [7972-64]SPS3

Swope, Michael [7972-89]SPS4

Szeto-Millstone, Marc [7973-07]S2

T

Tabata, Yasuko [7973-41]S11

Tabery, Cyrus E. [7973-02]S1

Tagawa, Seiichi [7969-93]SPS4, [7972-20]S5, [7972-30]S8, [7972-36]S9, [7972-36]S8, [7972-54]SPS1, [7972-57]SPS1, [7972-62]SPS3, [7972-80]SPS4

Taguchi, Takao [7969-65]SPS2

Tajima, Yasuharu [7972-56]SPS1, [7972-62]SPS3

Tak, Ki Duk [7974-27]SPS1Takada, Akira [7973-106]

SPS7Takagi, Isamu [7972-20]S5Takagi, Noriaki [7969-17]

S4, [7969-22]S5, [7969-76]SPS3, [7971-76]SPS1

Takahashi, Eiichi [7974-32]SPS1

Takahashi, Hidenori [7972-09]S2, [7972-09]S3

Takahashi, Kazuhiro 7973 ProgComm, 7973 S11 SessChr, [7973-12]S3, [7973-39]S11

Takahashi, Masanari [7973-59]SPS1

Takahashi, Noritsugu [7971-34]S8

Takahashi, Satoru [7971-07]S3

Takahashi, Tomohiro [7972-36]S9, [7972-36]S8

Takahashi, Toshiya [7969-92]SPS4, [7972-09]S2, [7972-09]S3

Takahata, Kazuhiro [7974-32]SPS1

Takahiro, Odaka [7971-14]S4

Takai, Kosuke [7969-55]S12

Takamasu, Kiyoshi [7971-07]S3

Takashi, Koike [7973-06]S2Takasuka, Masaaki [7972-

73]SPS4Takei, Satoshi [7972-80]

SPS4, [7972-83]SPS4Takikawa, Tadahiko [7969-

45]S10Takizawa, Hideo [7971-56]

S13Takizawa, Hiroo [7972-09]

S2, [7972-09]S3Takizawa, Masaharu [7971-

62]S14Takubo, Shinya [7973-34]

S10, [7973-34]S11Tamaoki, Hiroshi [7969-

92]SPS4, [7972-09]S2, [7972-09]S3

Tamori, Tomohiro [7971-76]SPS1

Tamura, Takao [7973-05]S2

Tan, Bo [7970-60]SPS1Tanaka, Daishi [7973-35]

S10, [7973-35]S11Tanaka, Hiroyuki [7969-24]

S6, [7969-114]SPS6Tanaka, Junichi [7971-09]

S3Tanaka, Keishi [7971-11]

S3, [7971-73]SPS1Tanaka, Maki [7971-32]S8,

[7971-64]SPS1, [7971-93]SPS1

Tanaka, Michihide [7971-109]SPS1

Tanaka, Satoshi [7969-55]S12, [7973-06]S2, [7974-15]S4, [7974-32]SPS1

Tanaka, Toshihiko [7969-17]S4, [7969-29]S7, [7969-53]S12, [7969-76]SPS3, [7971-76]SPS1

Tanaka, Yoshito [7972-53]SPS1

Tanaka, Yuusuke [7969-24]S6, [7969-53]S12, [7969-76]SPS3, [7969-114]SPS6

Tang, Cherry [7972-15]S4, [7972-78]SPS4

Tao, Jun [7973-09]S3Tao, Yezheng [7969-108]

SPS5Tarrio, Charles [7969-18]

S5, [7969-20]S5Tarutani, Shinji [7969-92]

SPS4, [7972-09]S2, [7972-09]S3, [7972-22]S6

Taussig, Carl P. [7970-41]S9

Tawarayama, Kazuo [7969-17]S4, [7969-24]S6, [7969-52]S11, [7969-53]S12, [7969-55]S12

Tay, Arthur [7971-03]S2Tedesco, Serge V. [7970-

33]S8Teipel, Ansgar [7973-73]

SPS3Tejnil, Edita [7973-65]SPS3Tel, Wim [7973-36]S10,

[7973-36]S11Telecky, Alan [7969-39]S9Temchenko, Vlad [7973-74]

SPS3Teramoto, Yusuke [7969-

50]S11, [7969-101]SPS5, [7969-103]SPS5

Terasawa, Tsuneo [7969-17]S4, [7969-29]S7, [7971-76]SPS1

Thackeray, James W. [7969-36]S9, [7969-36]S8, [7969-43]S9, [7972-03]S1

Thomas, Alan [7973-44]S12, [7973-44]S3

Thomas, Petros [7969-74]SPS3

Thompson, Michael O. [7972-45]S11, [7972-100]SPS5

Index of Authors, Chairs, and Committee Members

Page 71: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 69

Tian, Kehan [7973-07]S2, [7973-11]S3

Tien, David [7971-80]SPS1, [7971-86]SPS1

Tillack, Mark S. [7969-108]SPS5

Tirapu-Azpiroz, Jaione [7973-11]S3

Tiron, Raluca [7970-24]S6, [7970-25]S6, [7972-41]S10

Tkachenko, Boris [7969-110]SPS5

Togashi, Tadashi [7972-56]SPS1

Toki, Tsuyoshi [7971-78]SPS1, [7973-35]S10, [7973-35]S11

Tokui, Akira [7971-78]SPS1, [7973-17]S4, [7973-35]S10, [7973-35]S11

Tolani, Vikram L. [7971-85]SPS1

Tom, Glenn [7971-90]SPS1Tomoki, Kurihara [7972-88]

SPS4Tong, William M. 7970

CoChr, PanelModerator, [7970-38]S9, PanelModerator

Tonk, Christian [7971-61]S14

Tono, Kensuke [7972-56]SPS1

Topaloglu, Rasit O. [7974-09]S2, [7974-38]SPS1

Torres, David [7972-78]SPS4

Torres, J. Andres [7973-07]S2, [7974-06]S2, [7974-23]SPS1

Toshima, Takayuki [7970-50]S11

Toukhy, Medhat A. [7972-60]SPS2

Tran, Hoang A. [7972-70]SPS4, [7972-72]SPS4

Treiblmayr, Dominik [7970-57]S12

Trikeriotis, Markos [7972-48]S11

Tritchkov, Alexander [7973-11]S3

Trouiller, Yorick [7969-59]SPS1, [7973-68]SPS3, [7973-70]SPS3

Truffert, Vincent P. [7969-54]S12

Truong, Hoa D. [7970-14]S4, [7970-14]S7, [7972-27]S4, [7972-27]S7, [7972-44]S10

Tryba, Tony [7969-10]S3Tsai, Kuen-Yu [7971-75]

SPS1Tsai, Meng-Feng [7972-47]

S11Tsai, Min-Chun [7973-09]

S3Tsai, Ming-Jinn [7972-97]

SPS5, [7972-103]SPS5Tsai, Te-Wei [7972-88]

SPS4

Tsubaki, Hideaki [7969-92]SPS4, [7972-09]S2, [7972-09]S3

Tsuji, Yukihiro [7970-39]S9Tsujita, Koichiro [7973-12]

S3, [7973-39]S11Tsunoda, Dai [7970-67]

SPS1Tsuto, Takashi [7971-17]S4Tsutsumi, Kenji [7972-105]

SPS6Tudorie, Alexandra [7970-

12]S3Turner, Kevin T. 7970

ProgComm, [7970-53]S12

Turovets, Igor [7971-02]S2, [7971-37]S9

Turro, Nicholas J. [7972-14]S4, [7972-71]SPS4

U

Uchiyama, Takayuki [7971-62]S14

Ueda, Kazuhiro [7971-77]SPS1

Ueno, Yoshifumi [7969-108]SPS5

Ukraintsev, Vladimir A. 7971 S10 SessChr, 7971 S7 SessChr, 7971 ProgComm, [7971-08]S3

Umeda, Hiroshi [7973-55]S14

Ummethala, Upendra [7970-43]S10

Underwood, Jon [7971-33]S8

Unno, Yasuyuki [7973-45]S13

Uno, Taiga [7969-53]S12Uno, Taiga [7969-55]S12Upadhyaya, Mihir [7969-74]

SPS3Upreti, Tanvi [7970-25]S6Urakami, Hideyuki [7969-

103]SPS5Urbach, Hendrik P. [7971-

104]SPS1Urensky, Ronen [7971-02]

S2, [7971-37]S9Usuki, Kazuyuki [7970-27]

S7Utzny, Clemens S. [7971-

61]S14

V

Vaglio-Pret, Alessandro [7969-63]SPS2, [7971-25]S6, [7972-39]S10

Vaid, Alok [7971-02]S2, [7971-37]S9

Valeri, David [7969-36]S9, [7969-36]S8

van Adrichem, Paul [7973-26]S7, [7973-30]S8, [7973-51]S14, [7973-53]S14

van de Peut, Ton [7970-45]S10

van de Schaar, Maurits [7971-107]SPS1

van de Veerdonk, Rene [7970-17]S5

van den Berg, Christiaan [7970-12]S3

Van den Heuvel, Dieter [7969-115]SPS6

Van den hove, Luc [AL11PL-100]S

van der Donck, Jacques [7969-60]SPS2, [7969-62]SPS2

van der Heijden, Eddy [7972-105]SPS6

van der Hoeff, Tom [7973-80]SPS5

van der Laan, Hans [7973-80]SPS5

van der Walle, Peter [7969-60]SPS2

van der Zwan, Bert A. [7969-62]SPS2

van Dijk, Andre [7969-56]S12

van Dijk, Joep [7969-18]S5, [7969-92]SPS4

van Dommelen, Youri [7973-14]S4

Van Look, Lieve [7973-26]S7, [7973-30]S8, [7973-53]S14

van Niftrik, Ton [7973-05]S2

van Setten, Eelco [7969-49]S11

van Veldhoven, Emile [7969-31]S7, [7971-55]S13

Vandenberghe, Geert 7973 ProgComm, 7973 S9 SessChr, 7973 S13 SessChr, 7973 SPS8 SessChr, [7973-26]S7, [7973-30]S8, [7973-53]S14

Vandenbroeck, Nadia [7973-20]S5

Vandeweyer, Tom [7969-54]S12

Vane, Ronald [7969-81]SPS3

Vangheluwe, Rik [7972-105]SPS6

Vannuffel, Cyril [7971-39]S9

Vanoppen, Peter [7971-89]SPS1

Varanasi, P. Rao [7972-15]S4, [7972-40]S10, [7972-42]S10

Vaschenko, Georgiy O. [7969-112]SPS5

Vauselle, Alexandre [7971-36]S9

Venema, Nol [7970-45]S10Venkatakrishnan, Krishnan

[7970-60]SPS1Vereecke, Bart [7970-64]

SPS1Vergeer, Niels [7970-12]S3

Verhaegen, Staf [7969-54]S12

Versluijs, Janko [7969-54]S12, [7973-62]SPS2

Verspaget, Coen [7972-105]SPS6

Vest, Robert E. [7969-20]S5

Vikram, Abhishek [7971-16]S4

Vitorino, Nelson [7972-106]SPS6

Vladár, András E. WorkshopChair, [7971-28]S7, PanelModerator

Vogler, Marko [7970-31]S7Volkland, Susanne [7971-

82]SPS1Volkman, Catherine [7971-

35]S9, [7971-70]SPS1Voznesenskiy, Nikolay B.

[7973-88]SPS6Voznyi, Oleg [7969-56]S12Vyklicky, Libor [7972-40]

S10

W

Waechter, Andreas [7973-07]S2

Wagner, Christian [7969-49]S11

Wagner, Michael D. [7969-36]S9, [7969-36]S8

Wagner, Tina [7974-11]S12, [7974-11]S3

Wakabayashi, Osamu [7969-07]S3

Wakamatsu, Satoshi [7970-27]S7

Wakamoto, Shinji [7973-34]S10, [7973-34]S11

Walbrick, Walter H. [7974-22]SPS1

Wallow, Thomas I. 7969 S2 SessChr, [7969-25]S6, [7969-28]S6, [7969-30]S7, [7969-41]S9, [7969-70]SPS2, [7971-79]SPS1, 7972 S3 SessChr, 7972 ProgComm, [7972-19]S5

Wallraff, Gregory M. 7972 ProgComm, [7972-17]S5, [7972-44]S10

Wang, Ching-Yueh [7971-95]SPS1

Wang, Chunmei [7971-44]S10

Wang, Fan [7971-96]SPS1, [7971-99]SPS1

Wang, Fei [7969-45]S10, [7971-31]S8

Wang, Fu-Min [7971-75]SPS1

Wang, Hongying [7970-17]S5

Wang, Jing-Xia [7970-68]SPS1

Wang, Jue [7969-75]SPS3Wang, Lijiang L. [7974-11]

S12, [7974-11]S3

Wang, Liyuan [7972-84]SPS4

Wang, Shih-Yuan [7970-38]S9

Wang, Suping [7972-105]SPS6

Wang, Walter [7972-12]S4Wang, Wen-Yun [7972-79]

SPS4Wang, Xiangzhao [7973-76]

SPS3Ward, Brian S. [7973-98]

SPS6Ware, Adam [7972-40]S10,

[7972-95]SPS5Washburn, Carlton [7972-

78]SPS4Washio, Masakazu [7972-

36]S9, [7972-36]S8Waskiewicz, Christopher

[7973-22]S5Watanabe, Tsuyoshi [7970-

29]S7Watanabe, Yukio [7969-

07]S3Wathuthanthri, Ishan

[7970-54]S12Watkins, James J. [7969-

06]S2, [7969-06]S3, 7970 ProgComm, [7970-23]S6

Wee, Hae-Sung [7971-63]SPS1

Wee, Tse-Luen E. [7972-72]SPS4

Weher, Ulrich [7971-70]SPS1

Wei, Alexander [7973-11]S3

Wei, Y. C. [7973-47]S13Wei, Yayi [7972-42]S10,

[7972-92]SPS5Weidenmüller, Ulf [7970-

47]S10Weigand, Michael [7972-

78]SPS4West, Craig A. [7974-22]

SPS1Whang, John [7969-30]S7White, John K. [7969-96]

SPS5, [7969-97]SPS5Whittaker, Andrew K.

[7970-66]SPS1, [7972-86]SPS4

Wiaux, Vincent [7973-20]S5, [7973-62]SPS2

Wieland, Marco J. [7970-45]S10

Wiersma, Ard [7970-45]S10Willekers, Rob [7973-51]

S14Williams, R. Stanley [7970-

38]S9Willson, C. Grant SC101

Inst, SC103 Inst, SC622 Inst, [7970-28]S7, [7972-14]S4, [7972-18]S5, [7972-71]SPS4

Wiltzius, Pierre [7970-51]S11

Winkelmeier, Stephanie [7973-37]S10, [7973-37]S11

Index of Authors, Chairs, and Committee MembersBold = SPIE Member

Page 72: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

70 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Winroth, Gustaf [7969-35]S9, [7969-35]S8

Winter, Tom E. [7969-37]S9, [7969-37]S8

Wisnieff, R. [7972-46]S11Wolf, Alexander [7973-30]

S8Wolfe, Patricia [7972-37]

S9, [7972-37]S8Wolfer, Elizabeth [7972-

106]SPS6Wollenweber, Marcus

[7971-45]S10, [7971-45]S11

Wong, Martin D. F. [7973-18]S4, [7974-28]SPS1

Wong, Patrick [7973-20]S5, [7973-62]SPS2

Wong, Sabrina [7972-40]S10, [7972-95]SPS5

Wong, William [7973-09]S3Woo, Changsoo [7972-75]

SPS4Woo, Sang-Gyun [7973-

33]S9Woo, Youngtag [7973-47]

S13Wood, Obert R. 7969

ProgComm, [7969-28]S6, [7969-30]S7, [7971-79]SPS1

Word, James C. [7969-27]S6, [7974-37]SPS1

Woutersen, Sijmen [7970-45]S10

Wu, Chan-Tsun [7972-47]S11

Wu, Chung-hsi J. [7972-42]S10, [7972-92]SPS5

Wu, Hsing-Chien [7971-80]SPS1

Wu, Timothy [7969-47]S10Wu, Tsai-Wei [7970-18]S5Wu, Wei 7970 ProgComm,

[7970-38]S9Wu, Wen-Bin [7972-12]S4Wu, Wen-Li [7971-53]S13,

[7971-54]S13Wuest, Andrea F. [7969-74]

SPS3Wurm, Stefan [7969-37]

S9, [7969-37]S8Wuu, Jen-Yi [7974-29]

SPS1

X

Xia, Qiangfei [7970-38]S9Xiao, Guangming [7973-

47]S13Xiao, Hong SC1009 Inst,

[7969-45]S10, [7971-31]S8

Xiao, Shuaigang [7970-17]S5

Xie, Peng [7972-48]S11, [7972-86]SPS4

Xu, Liang [7970-68]SPS1Xu, Ping [7973-60]SPS2,

[7973-61]SPS2

Xu, Xumou [7973-60]SPS2, [7973-63]SPS2, [7973-64]SPS2

Xu, Yongan [7972-21]S6Xu, Yuan [7970-17]S5Xu, ZhiQing [7971-100]

SPS1Xue, Jing [7973-98]SPS6

Y

Yabashi, Makina [7972-56]SPS1

Yabe, Kazuo [7972-10]S4, [7972-98]SPS5

Yabu, Takayuki [7969-100]SPS5

Yabuta, Hironobu [7969-101]SPS5

Yaegashi, Hidetami [7972-10]S4, [7972-98]SPS5

Yahagi, Masahito [7972-20]S5

Yakshinskiy, Boris V. [7969-72]SPS3

Yamabe, Masaki 7970 ProgComm

Yamada, Akio [7970-10]S3Yamada, Yoshiaki [7972-

77]SPS4Yamaguchi, Atsuko

[7971-09]S3Yamaguchi, Masanori

[7972-49]S11, [7972-93]SPS5

Yamaguchi, Takashi [7969-21]S5

Yamaguchi, Yoshikazu [7972-28]S4, [7972-28]S7

Yamamoto, Hiroki [7969-93]SPS4, [7972-54]SPS1

Yamamoto, Jiro [7971-83]SPS1

Yamamoto, Katsumi [7972-11]S4

Yamamoto, Masahiro [7971-16]S4

Yamamoto, Ryousuke [7970-19]S5

Yamamoto, Yoshihiro [7972-40]S10, [7972-88]SPS4

Yamane, Miyuki [7971-21]S5

Yamane, Takeshi [7969-17]S4, [7969-29]S7, [7971-76]SPS1

Yamashita, Hiroshi [7970-29]S7

Yamashita, Tsuneo [7972-53]SPS1

Yamauchi, Syohei [7972-10]S4, [7972-98]SPS5

Yamazaki, Atsushi [7969-21]S5

Yamazoe, Kenji [7969-67]SPS2

Yan, Jiang [7973-103]SPS7Yan, Kai [7972-68]SPS3Yan, Pei-Yang [7969-119]

SPS2

Yanagida, Tatsuya [7969-100]SPS5

Yanagisawa, Masaki [7970-39]S9

Yanamori, Naomi [7972-80]SPS4

Yang, Henry [7970-17]S5Yang, Hyun-Jo [7971-16]

S4, [7971-59]S14Yang, Jeehong [7970-65]

SPS1Yang, Jianhua [7970-38]S9Yang, Josh [7974-27]SPS1Yang, Kiho [7971-68]SPS1Yang, Seung-Hune [7973-

32]S9Yang, XiaoMin [7970-17]

S5Yang, Zhiyong [7971-99]

SPS1Yankov, Vladimir V. [7970-

31]S7Yankulin, Leonid [7969-74]

SPS3Yano, Tasuku [7971-14]S4Yao, Huirong [7972-38]S9,

[7972-38]S8Yao, Ming-Jiun [7969-47]

S10Yasaka, Anto [7969-46]S10Yasuda, Atsushi [7972-69]

SPS3Yasuda, Kyouyuu [7972-28]

S4, [7972-28]S7Yasuda, Masahiko [7973-

34]S10, [7973-34]S11Yatagai, Toyohiko [7969-

97]SPS5Ye, Zhengmao [7970-20]S5Yehia Hamouda, Ayman M.

[7974-37]SPS1Yen, Anthony [7969-47]

S10, [7969-118]SPS6Yeo, Jeong-Ho 7969

ProgComm, [7970-07]S2, [7971-19]S5, [7971-46]S10, [7971-46]S11, [7971-50]S12

Yesilada, Emek [7969-59]SPS1, [7973-21]S5, [7973-68]SPS3, [7973-70]SPS3

Yet, Ek Jen [7973-110]SPS8

Yim, Donggyu [7969-57]SPS1, [7971-16]S4, [7971-68]SPS1, [7971-107]SPS1, [7972-25]S6, [7972-59]SPS2, [7973-27]S7, [7973-89]SPS6, [7973-95]SPS6, [7973-109]SPS8

Yin, Yunpeng [7972-42]S10, [7973-22]S5

Yokoduka, Toshio [7969-99]SPS5

Yokoyama, Takuma [7969-103]SPS5

Yoneda, Shozo [7971-83]SPS1

Yonekawa, Masami [7969-65]SPS2

Yonekura, Isao [7971-11]S3, [7971-73]SPS1

Yoo, Gyun [7971-16]S4Yoo, Sungchul [7971-100]

SPS1Yoon, Kwang Sub [7972-

42]S10, [7972-92]SPS5Yoshida, Hidekazu [7973-

16]S4, [7974-12]S12, [7974-12]S3

Yoshimochi, Kazuyuki [7971-62]S14

Yoshimoto, Kenji [7972-19]S5

Yoshinaga, Hiroyuki [7970-39]S9

Yoshioka, Masaki [7969-50]S11

Yoshitake, Shusuke [7969-114]SPS6

Yoshizawa, Atsutaro [7969-93]SPS4

Yoshizawa, Sachiko [7972-20]S5

You, Jee-Hye [7973-102]SPS6

Young, Stuart [7969-49]S11

Younkin, Todd R. [7969-03]S1, [7969-91]SPS4, [7970-66]SPS1, 7972 S5 SessChr, 7972 ProgComm, [7972-45]S11

Yu, Anguang [7970-66]SPS1

Yu, Ching-Fang [7969-47]S10

Yu, Chun-Chi [7971-80]SPS1, [7971-100]SPS1, [7972-99]SPS5

Yu, Dennis [7972-99]SPS5Yu, Jue-Chin [7973-58]

SPS1, [7973-72]SPS3Yu, Peichen [7973-58]

SPS1, [7973-72]SPS3Yu, Shinn-Sheng [7969-

47]S10, [7969-118]SPS6Yu, Zhaoning [7970-17]S5Yu, Zongchang [7973-82]

SPS5Yuan, Chi-Min (Chi)

SC1030 InstYuan, Wei [7973-09]S3Yugami, Jiro [7971-21]S5Yugami, Noboru [7969-97]

SPS5Yuito, Takashi [7973-41]

S11Yulin, Sergiy A. [7969-20]

S5, [7969-110]SPS5Yun, Byungsun [7971-59]

S14Yune, Hyoung-Soon [7973-

89]SPS6, [7973-95]SPS6Yuspeh, Sam [7969-108]

SPS5Yusuff, Hakeem [7972-42]

S10, [7972-92]SPS5Yusuke, Yamamoto [7972-

110]SPS6Yuzawa, Akiko [7970-19]S5

Z

Zaczek, Christoph [7969-95]SPS4

Zakharov, Sergey V. [7969-111]SPS5

Zakharov, Vasily S. [7969-111]SPS5

Zakhor, Avideh [7973-96]SPS6, [7973-97]SPS6

Zavyalova, Lena V. [7969-58]SPS1, [7973-98]SPS6

Zeggaoui, Nassima [7973-21]S5, [7973-68]SPS3

Zeltzer, Gabriel [7970-18]S5

Zhang, Bidan [7972-101]SPS5

Zhang, Dongqing [7973-92]SPS6

Zhang, Guojing [7969-61]SPS2, [7969-119]SPS2

Zhang, Hongbo [7973-18]S4, [7974-28]SPS1

Zhang, Nien-Fan [7971-40]S10

Zhang, Rui [7973-54]S14Zhang, Ruzhi [7972-91]

SPS5Zhang, Ying SC992 InstZhang, Youping [7973-51]

S14Zhang, Yunqiang [7973-94]

SPS6Zhang, Zhengfan [7973-82]

SPS5Zhang, Zishu [7972-13]S4Zhao, Yan [7969-45]S10,

[7971-31]S8Zhao, Zhen-Sheng [7973-

77]SPS4Zheng, Jinhong [7972-68]

SPS3Zhou, Chang [7971-96]

SPS1Zhou, Han [7972-52]SPS1Zhou, Hui [7971-12]S4,

[7971-40]S10Zhou, Jianming [7971-52]

S12Zhou, Tingting [7973-57]

SPS1Zhou, Wenzhan [7971-67]

SPS1Zhou, Xin [7973-47]S13,

[7974-08]S2Zhu, Zhimin [7972-89]

SPS4Zhuang, Haoren [7974-13]

S12, [7974-13]S3Zimmerman, John D.

[7969-49]S11Zimmerman, Paul A. [7972-

48]S11, [7972-86]SPS4Zocchi, Fabio E. [7969-10]

S3Zook, John [7972-106]

SPS6Zou, Elain [7974-27]SPS1Zou, Yingquan [7972-66]

SPS3, [7972-82]SPS4Zuniga, Christian D. [7969-

27]S6

Index of Authors, Chairs, and Committee Members

Page 73: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 71

Proceedings of SPIE

Vol# Title (Editor) Prepublication Price

7969 Extreme Ultraviolet (EUV) Lithography II(B. M. La Fontaine) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $130

7970 Alternative Lithographic Technologies III (D. J. Herr). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $100

7971 Metrology, Inspection, and Process Control for Microlithography XXV (C. J. Raymond) . . . . . . . . . . . . . $125

7972 Advances in Resist Materials and Processing Technology XXVIII (R. D. Allen) . . . . . . . . . . . . . . . . . . . . $130

7973 Optical Microlithography XXIV (M. V. Dusa) . . . . . . . . . $130

7974 Design for Manufacturability through Design-Process Integration V (M. L. Rieger) . . . . . . . . . . . . . . . . . . . . . . . . $60

Order Proceedings volumes now and receive low prepublication prices.

Proceedings on CD-ROM

Advanced Lithography 2011(Includes Vols. 7969–7974)Order No. CDS426 • Est. pub. April 2011Meeting attendee: $135Nonattendee member price: $475Nonattendee nonmember price: $620

SPIE Advanced LithographySearchable CD-ROM with Multiple Conferences.CD-ROMs are now available within 8 weeks of the meeting.

Full-text papers from all 6 Proceedings volumes.

PC, Macintosh, and Unix compatible.

Page 74: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

72 SPIE Advanced Lithography 2011 · spie.org/al · TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]

Publication Order Form

QTY. VOL NO.

____________________________________________________ ___________ ______________________________________________________ First Name M.I. Last Name

_________________________________________________________________________________________________________________________ Title

_________________________________________________________________________________________________________________________ Company

_________________________________________________________________________________________________________________________ Address (include Mail Stop)

______________________________________________________ ___________________ __________________________________________ City State/Province Zip/Postal Code

_________________________________________________________________________________________________________________________ Country other than USA

_________________________________________________________ ______________________________________________________________ Phone Fax

_______________________________________________________________________________ ________________________________________ E-Mail Address (SPIE does not sell e-mail addresses) Date of Birth (Optional)

■■ Check this box if you do not wish to receive information from organizations other than SPIE.

Annual SPIE Membership

To receive the Member discount, check appropriate box(es) below and fax or mail this form.■ ■ Regular/Fellow Membership: $105 ■ ■ Student Membership: $20 (Est. graduation date:___________) ■ ■ Early Career Professional (Offered for 3 years following graduation): $55 (Graduation date:___________)■ ■ Regular/Fellow 3-year Membership: $297 ■ ■ Regular/Fellow Life Membership: $995

Online Journal Option (choose one): ■ ■ Optical Engineering ■ ■ Electronic Imaging ■ ■ Biomedical Optics ■ ■ Micro/Nanolithography, MEMS, and MOEMS ■ ■ Applied Remote Sensing ■ ■ Nanophotonics

SPIE Digital Library Subscription1-year subscription, up to 25 full-article downloads: Member ■■ $145 Student/Retired ■■ $95 Nonmember ■■ $2501-year subscription, up to 50 full-article downloads: Member ■■ $195 Student/Retired ■■ $125 Nonmember ■■ $335

Once form is submitted and validated, you will receive an email confi rmation with instructions for setting up your account.At that point, you may begin using all the features of the Digital Library.

Proceedings and PublicationsFill in the volume or order number(s) and price(s) of the publications you wish to order below.

■■ SPIE Member SPIE ID #

CA, FL, WA residents add sales tax; Canadian residents must add GST . . . . . . . . . . . . . . . . . . . . . . . . . . . . .$_______________USD

Shipping/Handling (Books & CD-ROMs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $_______________USDU.S. 5% of order total [2-3 weeks delivery] Elsewhere 10% of order total [3-5 weeks delivery]Express Shipping: U.S. $15 USD for 1st item; $10 USD each addl item [2-3 days delivery]Elsewhere $30 USD for 1st item; $15 USD each addl item [1 week delivery]

Method of Payment

■■ Check enclosed. Payment in U.S. dollars (by draft on a U.S. bank or international money order) is required. Do not send currency. Wire transfers from banks must include a copy of the transfer order.

■■ Charge to my: ■■ VISA ■■ MasterCard ■■ Discover ■■ American Express ■■ Diners Club

Card Number ___________________________________________________________Security Code _____________________________

Expiration date ____________________________________________________________________________________________________

Signature _________________________________________________________________________________________________________

■■ Purchase order enclosed (Purchase orders must be preapproved).

All orders must be PREPAID in U.S. dollars. Prices subject to change without notice. No returns without written authorization of SPIE. ITEMS WILL NOT BE SHIPPED UNLESS PAYMENT IS RECEIVED.

For Offi ce Use Only

Date ___________________________________________________Amt. Recd.______________________________________________

CC Cash Check TC

Check # ________________________________________________P.O. # __________________________________________________IDN # __________________________________________________ORD # __________________________________________________

PUBLICATIONS TOTAL

$_________________USD

SUBTOTAL

$_________________USD

TOTAL

$_________________ USD_________________ USD

PRICE (USD)TITLE

DIGITAL LIBRARY TOTAL

$_________________USD

MEMBERSHIP TOTAL

$_________________USD

Mail or fax this form toSPIE, PO Box 10 Bellingham, WA 98227-0010 USA

Phone +1 360 676 3290Fax +1 360 647 [email protected]

Page 75: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

Technologies for semiconductor lithography R&D, devices, tools, fabrication, and services

Technologies- Optical Microlithography

- EUV Lithography

- Advances in Resist Materials and Processing Technology

- Metrology, Inspection, and Process Control

- Alternative Lithographic Technologies

- Design for Manufacturability through Design-Process Integration

Conference dates12–16 February 2012

Exhibition dates14–15 February 2012

LocationSan Jose Marriott and San Jose Convention CenterSan Jose, California, USA

spie.org/al

Mark your calendar

AdvancedLithography

2012

12–16 February 2012

Page 76: 2011 Advanced Lithography - SPIEspie.org/Documents/ConferencesExhibitions/AL11-final-L.pdf · World (Van den hove), 8:30 to 9:15 am, p. 6 Plenary Presentation: Thoughts on Extending

The world’s largest collection of optics & photonics research

DigitalSPIESPIE

LibrarySPIEDigitalLibrary.org

Find the answer

ImagingDefense& Security

SensorsBiomedicalOptics

Astronomy NanophotonicsCommunications Energy