第2 編 リソグラフィ wg - seaj.or.jp · pdf fileリソグラフィ 1 第2 編...

116
リソグラフィ 1 2 リソグラフィ WG 1 章 はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには、デバイスメーカ主体の観点で今後のデバイスの将 来像が描かれている。これはその将来のデバイスの実現のために、それぞれの技術研究開発 を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示してい る。 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production Ramp Curve 2-1-1 には、ITRS のロードマップにおいて、デバイスの量産立ち上がり時期とテクノロジー のサイクルタイミングを明示している。このある微細化によるデバイスの量産が、少なくとも2つ のデバイスメーカによって最初に月産 10,000 個以上の生産が開始される時期をその微細化の テクノロジーの生産導入時期とみなす。それまではそのテクノロジーの開発期間であり、その 時点の 12 ヶ月前までに Production Tool つまり量産機が市場に投入されること、また 24 ヶ月 前にはβ機が、そしてその前にはα機が利用可能であることを必要とすることが示されている。 このリソグラフィ装置技術ロードマップでは、「デバイスメーカから要求されるリソグラフィ装置」と 「その装置を実現するために必要な技術開発、課題と解決策や候補、装置メーカからの提言」 を示す。またこのロードマップを「業界関係者間の技術情報の共有」に役立てたいと考えてい る。2012 年度版では、ITRS2011 に合わせた改訂と共に、章構成の見直し、新規露光技術の

Upload: vukiet

Post on 06-Feb-2018

242 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

1

第 2 編 リソグラフィ WG

第 1 章 はじめに

1-1 背景

ITRS ならびに STRJ のロードマップには、デバイスメーカ主体の観点で今後のデバイスの将

来像が描かれている。これはその将来のデバイスの実現のために、それぞれの技術研究開発

を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示してい

る。

図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production Ramp Curve

図 2-1-1 には、ITRS のロードマップにおいて、デバイスの量産立ち上がり時期とテクノロジー

のサイクルタイミングを明示している。このある微細化によるデバイスの量産が、少なくとも2つ

のデバイスメーカによって 初に月産 10,000 個以上の生産が開始される時期をその微細化の

テクノロジーの生産導入時期とみなす。それまではそのテクノロジーの開発期間であり、その

時点の 12 ヶ月前までに Production Tool つまり量産機が市場に投入されること、また 24 ヶ月

前にはβ機が、そしてその前にはα機が利用可能であることを必要とすることが示されている。

このリソグラフィ装置技術ロードマップでは、「デバイスメーカから要求されるリソグラフィ装置」と

「その装置を実現するために必要な技術開発、課題と解決策や候補、装置メーカからの提言」

を示す。またこのロードマップを「業界関係者間の技術情報の共有」に役立てたいと考えてい

る。2012 年度版では、ITRS2011 に合わせた改訂と共に、章構成の見直し、新規露光技術の

Page 2: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

2

体系付けの見直しなどの改訂を行った。

1-2 ロードマップの意義と期待する効果

この装置技術ロードマップは、一般のロードマップに当てはまるように次のような意義を持

つ。

1. ビジョンと目標の明確化と共有

2.実践プロセスの相互理解と役割の明確化

3.製品目標の発見と創生(仮説・論理・シナリオ)

4.コミュニケーションの促進(自律と協調)

5.鳥瞰的な全体理解(納得・確信)

6.競争と協調の調整(公開することによる共生)

7.資源の有効活用(重複投資回避)

このリソグラフィ装置技術ロードマップでは、特に微細化を行う上で技術障壁が高くなって

きている昨今において、SEAJ を中心とした半導体関連装置メーカやデバイスメーカ、材料メ

ーカのみならず、国立研究機関、大学、また国レベルでの情報と知識の共有をすることによ

ってお互いのビジョンを明確化することができる。またそれぞれの立場から相互の理解を促

進し、それぞれの役割を明確にすることをもたらし、そのためのコミュニケーションの促進を

図ることができるものと考える。今後の複雑で入り組んだ技術開発の過程を鳥瞰し、それぞ

れのアクティビティの位置付けができる全体理解につなげ、ひいては重複投資を回避した

効率の良い開発の推進になる筈である。こうした情報を公開することにより競争と協調の調

整を図り、お互いの共生を実現するものである。 これによる期待効果としては

(1) 基礎研究や技術開発を、効率良く分担できる。必要な要素開発をロードマップに記述す

ることにより、例えば開発内容によって、

基礎研究の領域は: 国立研究所や大学で、

プリコンペティティブな開発領域は: コンソーシアム等で、

プリコンペティティブとコンペティティブの中間領域は: 装置メーカの共同開発で、

コンペティティブな開発領域は: 個別装置メーカで、

分担することができる。

特にプリコンペティティブな開発領域での各機関の協力と協調は、時間が限られている開

発期間内に効率よく開発を進める上で効果的である。

注: プリコンペティティブとは、量産化へ向けた解決策が示され、実用化が近いが、未だ業界

で競合状態にはなっていない状態を表す。

(2) リソグラフィ装置のコンポーネントメーカ、部品メーカ、材料メーカ、マスクおよびマスク関

連機器メーカ、レジストおよびレジスト関連機器メーカ等の皆様の開発計画策定に役立つもの

と考えている。

(3) 将来露光技術として複数の方式が提案・検討されている。ここではそれらの方式、特徴、

Page 3: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

3

得意分野などについて解説を行うと共に、可能な限り現状及び今後の開発課題を明示した。

また参考文献等のリストも掲載しており、各方式理解と協調の一助になれば幸いである。

1-3 まとめと謝辞

昨今のようにデバイス、製造装置共に産業競争力が低下している状況では、技術情報の共

有による開発の効率化に有効利用できる装置技術ロードマップの作成とその浸透が急務であ

る。本リソグラフィ装置技術ロードマップをご覧になった方々から多くのご意見ご指摘を賜り、ア

ップデートしていきたい。

多くの皆様に有効活用されることを切に願う次第である。

謝辞:

リソグラフィに関しては、ワーキンググループ委員以外多数の方々から原稿執筆のご協力を

頂きました。この場を借りて厚くお礼申し上げます。

また原稿作成に当たり多くのご助言、ご意見を賜りました。半導体技術ロードマップ委員会

(STRJ)の皆様、selete、EUVA,EIDEC を初めとするコンソーシアの皆様ならびに資料を提供下

さった各装置メーカ及び、リソグラフィ関係企業の皆様に感謝致します。

第 2 章 技術概要

2-1 検討範囲

対象とするロードマップは ITRS2011 をベースとし、原則として 2012 年 MPU/DRAM の

32nm および Flash の 20nm から 2018 年 MPU/DRAM の 15-16nm および Flash の 12nm ハ

ーフピッチまでを対象範囲とした。また、表記方法として、年代と DRAM ハーフピッチ、

Flash ハーフピッチ、MPU は1層メタルのハーフピッチを採用しており、リソグラフィ装置で

はより細かな時間軸区分が必要であるため、1年毎のロードマップとした。(図 2-3-1 参照:

DRAM ハーフピッチ 2012 年 32nm, 2015 年 23nm,2018 年 16nm とした。)

リソグラフィ方式としては、現在、我が国で検討・開発されている技術を中心に記述し、ま

た新規露光技術方として提案されてきている技術の紹介とそれぞれの方式と位置付けを明

確にした。また露光装置のみではなく関連技術である光源技術、マスク描画技術、 マスク

修正技術、コータデベロッパなどに関しても検討を行った。また露光技術に重要な役割を果

たすレジスト、データ変換についても 新の状況へのアップデートと今後の課題の記述を盛

り込んだ。32nm ハーフピッチ以細のソリューションとしてすでに量産技術として実用化され

ているダブルパターニング(DP)ついては技術開発されている様々な方式に関し検討を加

えた。さらに微細化を進めるために必要なマルチプルパターニング(MP)に関してもダブル

パターニングの説の中で一部解説を行った。

Page 4: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

4

32nm ハーフピッチ以細のポテンシャルソリューションとして掲げられている EUV, インプ

リント、ML2. Directed Self Assembly に関し、開発の現状と課題・展望に関し検討を行なっ

た。これらの新規技術は、困難度が高く、実用化までに時間を必要とするため、 近では

DFM( Design For Manufacturability)や Computational Lithography を駆使して、微細化技

術の延命を図る動きも目立つ様になって来た。DFM と Computational Lithography について

も、解説を行なった。

2-2 検討項目・内容

各リソグラフィ技術の技術動向を把握し、ITRS2011 ロードマップの対応へむけての課題、

その解決策を検討した。その際、デバイスロードマップにおける顕著な傾向である下記の 3

点は装置技術ロードマップ作成に多大な影響を与えるものであり、背景として考慮した。

(1) 三つのテクノロジードライバ

ITRS2011 では ITRS2009 に引続き、次の3種類のデバイスに必要とされる 小線幅サイ

ズを表記する方式が採用されている。その一つは DRAM ハーフピッチ でありこれまで通り

汎用デバイスの代表とされてきた汎用メモリである。その次には Flash ハーフピッチであり近

年量産が顕著なフラッシュメモリは DRAM とのデバイス構造の違いから同一の世代のテクノ

ロジーを用いると DRAM よりも細かい微細化が可能であることから区別して表記されるよう

になっている。ITRS2009 では DRAM よりも約 3 年早い微細化実用時期が示されていたが、

ITRS2011 では DRAM よりも約 4 年早い微細化実用時期が示されている。そして3つ目には

MPU の 1 層メタルのハーフピッチである。MPU の大規模化と高速動作を実現するため

Gate CD(Critical Dimension:線幅)の微細化が重要となっており、それに伴い 1 層メタルの

ハーフピッチの微細化が重要になっている。但し 近では微細化を計画通りに進めるのが

難しくなって来ており、実情に合わせ、ロードマップの微細化のスピードが緩和されており、

多方面のロードマップに大きな影響を及ぼすようになりつつある。

(2) 生産構造の変化と露光方式の多様化

現在、多くの国内デバイスメーカは、業界での生き残りを掛けて、選択と集中を強化し、

半導体生産の主力を主要製品に特化する傾向が見られるようになってきた。DRAM に特化

するメーカ、フラッシュメモリを中心に生産拡大を図るメーカ、先端プロセスの開発・量産を

行わず Foundry 企業に生産を委託する Fab Light 方式に方針を転換し、システム開発に重

点を置く SoC(System on Chip:システムオンチップ)メーカ、パワー半導体・LED・光センサ

など特定製品を中心に事業展開を図るメーカなど様々なメーカが鎬を削っている。一方、海

外には汎用メモリや MPU を主力製品とし少品種・大量生産を中心とする半導体メーカ、半

導体の生産受託に特化したファンドリ、生産を外部に委託し設計・企画でビジネス拡大を目

指すファブレス、また設計から完成品の製造を手掛け販売会社に供給する ODM

(Original Design Manufacturing ), EMS(Electronics Manufacturing Service ) など様々

Page 5: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

5

なビジネスモデルが立ち上がり、生産構造も大きく変化しつつある。装置メーカはこれらの

すべての要求にこたえる必要がある。汎用メモリや MPU が「少品種大量生産」であるのに対

して、SoC をはじめとする多くの分野の製品群は、「多品種小中量生産」になると言われてい

る。この生産構造の変化が、技術の選択として 適露光方式の多様化を産んだといえる。

(3) リソグラフィ技術の障壁の高さと複雑さ

今後リソグラフィ技術の発展には、従来よりも多くの内容、項目が開発課題として存在す

ることが認識されており、その技術が確立されるためには主要な課題が全て解決されていな

ければならない。つまりインフラストラクチャーの整備が必須となる。特に 193nm(ArF)以降の

リソグラフィでは、その障壁が高くなるばかりか課題も多く存在し、その克服のために一段と

装置の複雑さを必要としている。こうした背景があるなかで課題と解決策を明確にして取り

組むことが重要である。

第 3 章 技術要求・予測・課題

3-1 リソグラフィ方式の概説と全体ロードマップ

表 2-3-1 に 16nm ハーフピッチ までに実用化される技術の候補を示す。

これまでは汎用メモリの量産に適した光リソグラフィが主流であったが、微細化の加速により将

来リソの候補として光方式以外にも様々な方式が提案されている。今後は解像度のみならず

生産規模や目的・用途に応じた使い分けがなされていく可能性が有る。

リソグラフィ方式は大別して光リソグラフィと電子ビーム(EB)リソグラフィと Imprint、DSA

(Directed Self Assembly)などのその他の方式の3通りに分けられる。DSA は、単独では先端半

導体の加工には用いられず、他のリソグラフィ プラットフォームと組み合わせて使われる見通

しである。また 16nm ハーフピッチ までの量産実用化が現時点では、不明の為、表には記載

していない。光リソグラフィとして実績のある光露光装置は微細化に対応して短波長化が進み、

現在は 248nm、193nm といったエキシマレーザを光源とする露光装置が実用化され、その延

長として液浸技術の開発が進められている。さらに1桁以上短波長の光を用いた EUV リソグラ

フィ方式は以前から実用化を目指した研究が取り組まれている。光リソグラフィの特徴はスル

ープットが高く大量生産に適している事である。

表 2-3-1 リソグラフィ方式 Table 2-3-1 Lithography Technology

Page 6: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

6

リソグラフィ名称

Lithography 方式

波長

Wave length

マスク(倍率)

Mask Mag.

248nm(KrF)

Optical

248nm(KrF) 4×

193nm(ArF) 193nm(ArF) 4×

193nm 液浸 (ArF Immersion) 134nm

等価波長(H2O ) 4×

EUVL(Extreme Ultra Violet

Lithography) 13.5nm 4×

ML2(Mask Less Lithography) EB ≦0.05nm *) 不要(not

required)

Imprint Lithography 不要(not required) 1×

*)電子のド・ヴロイ波長(解説 5-2.参照)

EB 露光は微細加工能力を生かし、マスク製作手段への利用と共に先端デバイス R&D 用と

して既に確立された技術である。近年、半導体製造に適した高スループット対応 EB 露光方法

として、様々な方式が提案されて来たが、2011 年ITRSでは、ML2(Maskless Lithography)の

みが 23nm ハーフピッチ以細のポテンシャル・ソリューションとして提案されている。EB 露光は

解像度が高く、光露光が比較的苦手な CH(コンタクトホール)露光等で威力を発揮する。また

ML2 はデバイス毎にマスクを製造する必要がないため、マスクの製造 TAT(Turn Around

Time)が問題となるデバイス生産や次世代デバイスの開発・試作に適した方式である。

ここでは、デバイスの多様化に伴い、開発、生産、販売などの形態が変化する状況を考慮し、

現在提案されている露光方式の特徴から、各種適用の可能性を検討した。

(1) 少品種大量生産

従来からの主力である DRAM、フラッシュメモリや MPU 等、少品種大量生産されるもの

は、スループットの高い光露光装置が適している。現在実用化されている 193nmリソグラフィ、

液浸技術、DP(Double Patterning)に続いて MP(Multiple Patterning),EUV(Extreme

Ultraviolet)が候補として挙げられる。

(2) 多品種小中量生産

SoC など多品種小中量生産のデバイスはスループットに対する要求は前者ほど厳しくなく、

むしろカスタム性が高いため多品種への対応のしやすさ(主にマスクコスト低減、マスク製造リ

ードタイム短縮)やメモリとロジックが混在する半導体チップへの対応が強く要求される。現状

Page 7: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

7

の DUV(Deep Ultraviolet)光による光露光方式に代わる次世代技術として、高解像性が既に

実証済みでマスクを使用しない ML2 といった EB リソグラフィ方式が提案されている。

(3) 試作・特殊デバイス(極小量生産)

次世代デバイスの試作開発、特殊な高速デバイス(携帯電話用の高周波デバイスや光ケ

ーブル中継回路の発信器など)の生産、マイクロマシーンやナノテクノロジーの基礎研究分

野などは、生産量が非常に少なく高解像度を必要とするデバイスであり、デバイス毎にマス

クを製造する必要がないという点でも、従来通り EBDW(EB Direct Writing)やその発展形

であるML2 方式が適している。Imprint Lithography も、一部のデバイスメーカで微細な寸法

のデバイスの試作や評価用にすでに実用化されている。また試作のみならず、デバイスの

量産化への対応も視野に入れた開発が進められている。

(4) Mix & Match

生産規模の観点による適性の他、デバイスのパターンによっても各方式の適性がある。

線幅均一性が要求されるゲートパターンや高解像力が要求される CH に対しては EB 方式

が優れており、また EB 方式は DOF が大きい。こういった特徴に応じ、例えば特定レイヤー

用としての使われ方も考えられる。今後、解像度の厳しい特定レイヤー用として EB 露光を

使い、高い解像度を必要としない他のレイヤーには光露光を使うという、光と EB との Mix &

Match 方式の露光方法も検討されている。図 2-3-1 にリソグラフィロードマップを示す。

ITRS2011 に対応し、各リソグラフィのデバイス量産適応時期予測を示している。Directed

Self Assembly に関しては、量産化時期が明確化されておらず、2018 年の実用化が微妙で

ある為、表には記載しないこととした。

図 2-3-1 リソグラフィロードマップ Figure 2-3-1 Lithography Roadmap

Page 8: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

8

3-2 光リソグラフィ技術のポテンシャル

光リソグラフィにおける解像度は次に挙げる Rayleigh の式により規定される。

R=k1(λ/NA)

R :解像度

λ :露光光源波長

NA :レンズ開口数(Numerical Aperture)=n・sinθ

θ :露光面への 大入射角

n :レンズとウェーハ間の媒体屈折率

k1 :プロセス定数;通常 0.6~0.4 程度、理論限界 0.25

解像度を増大させる為には波長を短くし、NA を大きくし、k1 ファクタを小さくする必要があ

る。近年、検討が進められている液浸露光方式は、投影する光学系のウェーハ面に も近

いレンズとウェーハの間を気体よりも屈折率の高い液体で満たすことにより NA をその液体

の屈折率倍にすることに注目した高 NA 化の手法である。また、k1 ファクタの低減のために

近年では変形照明*、位相シフト*、超解像技術が導入されている。これらの導入により k1 フ

ァクタを通常の 0.6 程度から 0.3 以下にまで低減することが可能となった。表 2-3-2 にリソグラ

フィにおける解像度と NA, k1 の関係を示す。

ITRS2007 に、リソグラフィの手法やデバイスによって量産で使われる k1 の目標値を決め

て微細化の可能性を判断することになった。ITRS2011 では、EUV Single Exposure の k1

の値と年度ごとの NA の値が Table に表記されたので、それらの値を表に取り込んだ。

ITRS2007 には Double Exposure/Double Patterning(DE/DP)においては、量産性を考慮し、

k1=0.20 以上と決められたが、 近では DE/DE の技術が進み DRAM/FLASH とも 20nm

1/2pitch での量産が見通せるか検討されるようになってきたため、この表 2-3-2 では、DE/DP

の量産可能な k1 の値を 0.14 以上と決めた。Multiple Patterning では、Quadruple Patterning

の可能性も視野に入れ、k1=0.09 以上 0.14 未満の領域を Multiple Patterning 可能な領域

に当てている。以上の k1 の目標値に基づいてカラーリングを行っている。MPU については、

1/2 pitch の値が DRAM に近く、量産性でk1の値が若干高めになるが、カラーリングも

DRAM と大きな差が無いため DRAM の表を参考にして頂きたい。ArF のドライに関しては、

先端プロセスでの DE/DP もしくは Multiple Patterning がほとんど使われていないので、表に

は記載しないこととした。技術の進展によっては、これらのカラーリングも変動する可能性が

有ることに留意頂きたい。

Page 9: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

9

表 2-3-2 リソグラフィにおける解像度解像度と NA, k1 の関係(Flash,DRAM)

Table 2-3-2 Relation in resolution, NA, k1 and wavelength in Optical Lithography

近年、パターンの微細化が進むにつれ、OPC(Optical Proximity Correction: 光学近接効果

補正)*の導入が避けられなくなってきている。これは補正パターンの解像度及びデータ量増

大の観点でマスク描画装置への負荷を増す要因となっている。

また、光リソグラフィで実用限界を判断するにあたり k1 ファクタと並んで考慮すべきパラメータ

Page 10: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

10

として、マスクのエラーファクタ MEEF(Mask Error Enhancement Factor)*がある。図 2-3-2 に示

す通り、MEEF は波長によらず k1 ファクタの低下に伴い増加するため、マスク描画装置への負

荷は微細化スピード以上に厳しい要求となる。ただし、液浸から EUV の移行にあたっては、露

光波長が 1 桁短波長化されるため、k1 ファクタは一挙に緩くなり、MEEF も大幅に緩和される。

K1factor.vs.MEEF <6%att-PSM/Binary/Levenson: L/S> @B.F

0

1

2

3

4

5

6

0.25 0.3 0.35 0.4 0.45 0.5K1-factor

MEEF

att-KrF 2/3Annular

att-ArF 2/3Annular

Bi-KrF 2/3Annular

Lev-ArFσ0.3

図 2-3-2 光リソグラフィにおける k 1 ファクタと MEEF の関係

Figure 2-3-2 Relation k1 and MEEF in Optical Lithography

用語説明

1) 変形照明(斜入射照明、Off axis illumination)

光軸の中心を外した位置に絞りを入れることによりレチクルに対し露光光束を斜めに

入射させる照明方法であり、解像度や DOF が向上する。絞り形状により輪帯照明、四

(重)極照明などとも呼ばれる。

2) 位相シフト、PSM(Phase Shift Mask:位相シフトマスク)

位相シフトは PSM を用いて光の位相を変化させることにより、解像度及び DOF

の向上を図る技術。PSM には HT(ハーフトーン)マスク(Attenuate-PSM とも言う)やレ

ベンソンマスク(渋谷‐レベンソンマスクまたは Alternative-PSM とも言う)などの種類が

ある。

3) OPC(Optical Proximity Correction:光学近接効果補正)

パターンの微細化に伴い、複数パターンの近接によって転写されたパターン形状が変

化してしまう近接効果が顕著になるため、レチクル上に微細な補正パターンをいれるこ

Page 11: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

11

とによって転写パターン形状をコントロールする技術。

4) MEEF(Mask Error Enhancement Factor)

レチクル上の誤差がウェーハ上で拡大される比率。

第 4 章 各リソグラフィ技術の動向、課題、解決策等

4-1 液浸露光技術

投影レンズとウェーハ間を液体で満たす露光方式である(図 2-4-1)。原理的には露光波長

λを液体の屈折率 n で除した等価波長λ/n による露光方式と理解でき、波長λ/n に相当する

解像力と焦点深度を得ることができる(表 2-4-1)[1]。通常は波長λは変化せずに、NA が n 倍

に増大したとして扱われる(NA は NA=n sinθで定義される(n は結像空間(液体)の屈折率、

θは結像光線の 大入射角))。液浸によって NA が1を超えることができるようになっている。

図 2-4-1 液浸露光の基本構成

Figure 2-4-1 Principle of Immersion

表 2-4-1 等価波長(λ/n)の比較

Table 2-4-1 Equivalent wavelength (λ/n) and Ratio

Page 12: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

12

媒体

Media

屈折率

n

Index

等価波長 λ/n

Equivalent

等価波長比

Ratio

193nm dry Air 1.0 193nm 1.00

193nm Immersion Purified Water 1.44 134nm 0.69

193nm High Index

Immersion Organic Fluid ~1.65 ~117nm ~0.61

現在、実用化されているのは、媒体として純水(n=1.44)を用いた 193nm(ArF 波長)での露

光方式(λ/n = 134nm)である。2011 年現在、NA=1.35 の液浸露光装置を用いて、ハーフピッ

チ 40nm 程度までのデバイスの量産が行われるとともに、NAND フラッシュなど一部のデバイス

種においては側壁ダブルパターニング技術と組み合わせてハーフピッチ 20nm 台の生産が行

われている[2, 3, 4, 5]。

図 2-4-2 は、NA=1.30 の液浸露光装置で 39nm のパターンを露光したレジスト像の例である[2]。偏光照明とダイポール照明を組みあわせているため、比較的大きな焦点深度(800nm)を

得ている。NA=1.30 から 1.35 の露光装置において、生産に用いることができる解像限界として

はハーフピッチで40nm付近(k1=0.30)であると考えられており、それ以下のパターンを形成す

るためには、ダブルパターニングの技法を液浸露光に組み合わせることが必要になる。

図 2-4-2 トップコート無しレジストを使った NA=1.30 193nm 液浸の 39nm L/S の解像例

Page 13: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

13

Figure 2-4-2 Imaging of 39nm L/S by NA=1.30 193nm Immersion.

Topcoat-less resist was used.

液浸露光においては、ディフェクトや気化熱による重ね合わせ誤差の発生が報告されてい

たが、量産に問題ないレベルにまで改善されている。ダブルパターニングによる微細化に対応

するために、重ね合わせ精度を 2nm 以下にする開発がなされ、2012 年現在、単一装置重ね

では実現されている[6]。

純水による液浸の次の段階として、液体を純水から別の高屈折率液体に変更し、高 NA を

得る試みが検討されていたが、多くの技術的な困難から 2008 年の段階で実用開発には至ら

ないことが判明した[5]。そのため純水をもちいた液浸の NA1.35 が当面の間、 高の NA とな

る。

参考文献

[1] 大和 「液浸リソグラフィ」 応用物理 74巻 9号 p1192 (2005).

[2] J. Ishikawa, et al, “Latest results from the hyper-NA immersion scanners S609B and

S610C”, Proc. SPIE 6520, 65201W (2007).

[3] H. Kubo, et al, “Immersion exposure tool for 45-nm HP mass production”, Proc. SPIE

6520, 65201X (2007).

[4] J. Klerk, et al, “Performance of a 1.35NA ArF immersion lithography system for 40-nm

applications”, Proc. SPIE 6520, 65201Y (2007).

[5] S. Owa and H. Nagasaka “Immersion lithography: its history, current status, and future

prospects”, Proc. SPIE 7140, 714015 (2008).

[6] Y. Uehara, et al., “Immersion and Dry ArF Scanners Enabling 22nm hp Production and

Beyond”, Proc. SPIE 8326 (2012).

4.2 ダブルパターニング

ダブルパターニング技術(以下 DP 技術と略す)は,現存の193液浸露光技術の限界解像

度を超える加工寸法を得るために考案された光露光の延命技術で,「自己整合タイプ」と「パ

ターン分割タイプ」に大別さる。特に自己整合タイプの DP 技術は,微細化技術が先行する

NAND Flash メモリで いち早く量産採用され,定着した技術でもある。さらに 1D レイアウトデ

ザインの提案によりロジックデバイスへの展開も期待される。従来のプロセスを分割処理するこ

とが前提である DP 技術では プロセスの複雑化やコストの上昇が懸念材料としてあり,エッチ

ングや成膜技術を駆使しなければならない技術であることが リソ技術者には受け入れ難い手

法であることは事実である。しかし 大掛かりな設備投資をせずに,既存のプロセス装置を組み

合わせることで 微細パターンが得られることは 大きなメリットでもある。

Page 14: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

14

4-2-1 自己整合タイプ

スペーサ技術(SADP:Self-aligned DP)は,1 回のパターン露光で ピッチの 1/2 化が可能な

技術で DP 技術の中でも, も簡便なプロセス工程で 193nm 液浸技術の解像限界を遥かに

超える高い解像性能を持つ(図 2-4‐3)[1] 。

図 2-4-3 レジストパターンをコアに用いた SADP フロー

Figure 2-4-3 SADP Flow using resist pattern as a core

DP 技術は一様にプロセス処理工程数が多岐に渡り複雑化していることが懸念されているが,

その解決策としては,レジストパターンをコアパターンに用いる手法が有望である。スペーサパ

ターンとなる膜をレジストパターン上に直接 敷設する必要があるため レジストのガラス転移点

以下で成膜できる手法を用いるのが望ましい。レジストをコアパターンとし,低温で成膜が可能

なシリコン酸化膜を用いた実証例を図 2-4-4 に示す[2]。この手法では コアパターン形成用の

膜を,新たに追加する必要がなく,従来のシングル露光と同じレジスト積層構造で実現可能で

あるため プロセスコストの低減にも寄与できる。

図 2-4-4 SADP の狭ピッチ化実証例

Figure2-4-4 Example of finner pitch using SADP

DP 技術は 成膜,エッチング技術を駆使する手法と見られる向きが強いが,リソグラフィの視

点でプロセス性能を認識・判断しなければならない。図 2-4-5 に示す様に,コアパターンの種

類によってプロセス余裕度に違いが現れる[3]。これはコアパターン形成時のエッチング工程で

焦点余裕度が著しく低下してしまっていることが所以であると推測できる。広いプロセス余裕度

を確保するためにもレジストをコアパターンとした手法を用いるのが良策であろう。

Page 15: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

15

さらに 自己整合型 DP 技術は,20nm hp 以細の微細化に対する拡張性も有していることも

実証結果が報告されている。これは,SAQP(Self-Aligned Quadruple patterning)と呼ばれるも

ので,端的にはピッチ分割を 2 度繰り返すものであるが,11nm hp までの解像性能を持つこと

は秀逸な手法と言える。実証例では,レジストパターンを第一のコアとして ピッチを 1/2 化した

パターンを下地の有機膜に転写し,さらに この有機膜を第 2 のコアとして用いている。従来の

シングル露光工程と同様のレジスト積層構造で実現可能であることは プロセスコスト低減効果

として特筆すべき点である(図 2-4-6)[4]。

図 2-4-5 コア材別のプロセス余裕度比較

Figure2-4-5 Comparison of process margin between core materials

図 2-4-6 SAQP での11nm hp パターン解像結果

Figure2-4-6 Pattern imaging result of 11nm half pitch using SAQP

4-2-2 ダブルパターニングの CD 管理,LWR/LER 制御

SADP プロセスにおける CD 制御を語る上では,ライン CD より むしろ,スペース CD に注力

しなければならない。ここで,同じコアパターンを介して形成された 2 対のスペーサパターン間

をコアスペース,異なるコアパターンを介して形成された隣り合うスペーサをギャップスペースと

呼ぶ。SADP 処理後のライン CD は,ほぼスペーサ材の成膜制御性で決定されるが,コア・ギャ

ップ2種類のスペースCDはコアパターンのCD,スペーサ材の膜厚,エッチング性能によって,

別個に変動する(図 2-4-7-a)。

Page 16: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

16

a) SADP b)SAQP

図 2-4-7 スペーサ技術(SADP,SAQP)の CD 変動因子

Figure2-4-7 CD variation factors of

spacer technology (SADP,SAQP)

さらに SAQP では,ライン CD は第 2 のスペーサ CD で決定されるため寸法調整は容易であ

るが,スペース CD は 3 種の変動因子からなるため,寸法制御に困難を来す(図 2-4-7-b)。ス

ペース CD を調整する上で も厄介なノイズ成分はスペーサパターン上の LER であり,いか

に LER を低減させるかが CD 安定性を確保する中核となる。LER の違いによるライン CD,スペ

ース CD のウェハ面内均一性を比較した例を図 2-4-8,図 2-4-9 に示す[5,6]。LER を改善する

ことにより,CD 均一性が劇的に改善されていることが分かり,さらにライン・スペース間の CD 差

も是正されている。

図 2-4-8 SAQP での LER/LWR 改善例 図 2-4-9 SAQP での CD 均一性の改善

Page 17: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

17

Figure 2-4-8 Figure2-4-9

Improvement of LEW/LWR by SAQP Improvement of CD Uniformity by SAQP

4-2-3 1D レイアウトと Complementary Lithography

ロジックデバイスでは ゲート回路にランダムなレイアウトを用いることが通例であり,微細化に

伴い,高いパターン忠実度(Pattern Fidelity)の確保が求められてきた。現状の193液浸技術

では,このパターン忠実度を改善させる手法として SMO 技術が たるものであろう。さらにパタ

ーン忠実度やプロセスばらつき(Process Variability)を改善する手法として 1D レイアウトへの

移行が現実化している。これは 1 方向の方形パターンで構成されるレイアウトで,パターン形

成には,グリットライン形成とパターン切断の工程とに分割する手法が有力技術で,現在 この

相補的技術を Complementary Lithography と呼んでいる。前者のグリットライン形成には SADP

が 適な手法であり,ライン切断には技術ノード世代ごとに要求されるパターン間隔によって,

露光技術が使い分けられる。Tela-Innovations 社デザインのレイアウトを用いた1D レイアウトの

パターニング実証結果を 図 2-4-10 に示す[7]。193nm 液浸のシングル露光で 16nm ノード技

術世代まで適応できることが確認できている。この技術は Litho-Etch-Litho-Etch(LELE)プロ

セスに代表される「パターン分割型」DP 技術に比べて露光時の重ね合わせ精度を大きく緩和

できることも特徴の一つである。

図 2-4-10 Complementary Lithography での1D パターン形成

Figure2-4-10 1D Patterning by Complementary Lithography

4-2-4 ダブルパターニングのホール適応

半導体デバイス種の中でも DRAM メモリのキャパシタ形成工程に も微細なホールパター

ンが必要とせれている。本項では 主に細密ホールに適応した DP 技術を紹介する。

・SADP

SADP 技術は 主にラインパターンのピッチ 1/2 化手法と捉えられてきたが,ホールパターン

Page 18: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

18

形成にも有用であることが分かっている。ピラーパターン上にスペーサ形成と同様の成膜を過

分に行うことで 4 本のピラー中心に窪みが生じる。引き続きスペーサ材をエッチバックすること

で,ホールの狭ピッチ化が達成する。

シングル露光でホールのピッチ 1/2 化が可能な技術である。

・DTD (デュアル・トーン・デベロップメント手法)

DTD 技術は,レジストパターンの狭ピッチ化を目的として考案された技術であるが,原理的に

はホールの狭ピッチ化にも有用な技術を推測できる。従来の露光~ポジ型現像にネガ型現像

を追加するだけで達成可能な技術で,リソクラスター(露光機,レジストトラック)内で全ての処

理が可能であることは,リソ技術者にとってはフレンドリな技術と言える(図 2-4-11)[8]。

図 2-4-11 DTD (デュアル・トーン・デベロップメント)法によるホールパターンの狭ピッチ化

Figure2-4-11 Finer pitch of hall pattern by DTD (Dual Tone Development)

・Cross-SADP

SADP 技術を直行する形で多重処理することでラティス構造を形成し, 終的にホールを解

像させる技術である。解像性能は 20nmhp に達し, も細密なホールを形成できる手法である

(図 2-4-12)。露光の重ね合わせ精度が緩和できるのも特徴の一つ[9]。

図 2-4-12 Cross-SADP フロー

Figure2-4-12 Flow of SADP

4-2-5 パターン分割タイプ

隣り合うパターンの処理工程を分離することで近接効果を低減させる手法で,リソ・エッチ・リ

ソ・エッチ型と 処理工程短縮を意図したリソ・リソ・エッチ型とに分類される。露光時の重ね合

わせ精度に改善の余地があるため実用化が遅れていたが,NTD(ネガ・トーン・デベロップメン

Page 19: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

19

ト)の登場で ロジックデバイスのメタル工程(トレンチ,ビアホール)で実用化されつつある。

参考文献

[1] W. Jung et. al., “Patterning with Spacer for Expanding the Resolution Limit of Current

Lithography Tool”, Proc. SPIE6156 p.481 (2006)

[2] A.Hara et.al., “Advanced self-aligned DP process development for 22-nm node and

beyond” Proc.of SPIE 7639-79 (2010)

[3] Y. Kuwahara et.al., “Advanced multi-patterning using resist core spacer process

for22nm node and beyond” Proc.of SPIE 8325-79 (2012)

[4] H.Yegashi et.al., “Novel approaches to implement the self-aligned spacer double

patterning process toward 11-nm node and beyond” Proc.of SPIE 7972-10 (2011)

[5] K.Oyama et.al., ” CD error budget analysis for self-aligned multipatterning” Proc. of

SPIE 8325-43 (2012)

[6] K.Ohmori et.al., ” CD uniformity improvement on the self-aligned spacer double

patterning process by resist material modification” Proc.of SPIE 8325-12 (2012)

[7] Michael C. Smayling et.al., “Sub-20nm logic lithography optimization with simple OPC

and multiple pitch division” Proc.of SPIE 8326-39 (2012)

[8] S.Yamauchi et.al., “Applicability of double patterning process for fine hole pattern” Proc.

of SPIE 8325-77 (2012)

[9] K.Oyama et.al., “The enhanced photoresist shrink process technique toward 22nm

node” Proc.of SPIE 87972-98 (2011)

4-4 EUV

4-4-1 EUV リソグラフィ

波長 13.5nm の EUV 光(Extreme Ultraviolet:極端紫外光)を用い、マスクパターンをウェー

ハに 1/4 に縮小転写する露光方式で、円弧状の領域をスキャン露光する。光を用いる縮小投

影スキャン露光方式であることから、193nm(ArF)リソグラフィの延長線上の技術と考えられ、光

リソグラフィの特長である量産性を持つ[1], [2], [3], [4]。使用波長が従来の 1/10 以下であるため、

0.5 以上の k1 ファクタで DRAM ハーフピッチ 16nm あるいは 11nm まで対応可能である。ス

ループットは 100wph 以上(300mm wafer、10mJ/cm2、200W)が見込まれている。従来の光リソ

グラフィとの 大の違いは、EUV 光を透過する材料が無いことから、露光光学系とマスクが全

て反射式(ミラー)になる点と、露光雰囲気が真空になる点である。EUV 光を反射するために、

重元素と軽元素を波長の約半分の周期で交互に数十層重ねた多層膜コートが用いられる。

EUV リソグラフィの使用波長が 13.5nm である理由は、 も安定で高い反射率を有する Mo/Si

多層膜の特性にあわせたためである。次世代 EUV リソグラフィの基礎研究もすでに始まって

Page 20: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

20

おり、La/B4C、LaN/B4 C、LaN/B などの多層膜を用いて波長 6.7nm に対して約 40%の反射率

が得られているが[5]、その波長半値幅は極めて狭く、実用化の目処は立っていない。

EUV リソグラフィ実現のための 大の課題は、光源技術、レジスト技術、およびマスク技術

である。波面収差や反射率などの各種計測には、信頼性があってクリーンな放射光源(SOR)

が用いられるが、露光機に用いる光源としては光量が大きく不足する。レーザプラズマ光源や

放電プラズマ光源の開発が日米欧で盛んに行なわれている[6]。高出力で、照明ミラーの反射

率劣化の原因となるデブリ(高温プラズマや放電による飛沫物)の少ない光源の開発が急務で

ある。光源出力は、発光点出力でなく、投影光学系で利用可能な集光点出力で議論される。

毎時 100 枚以上のウェーハスループットを実現するためには、第一集光ミラー、デブリ低減機

構、波長選択フィルタなどを通過した後の集光点出力が 115W必要と試算され[7]、発光点出力

は 300W を超えると考えられていた。しかしながら、この必要パワーの計算のベースとなってい

たレジスト感度 5mJ/cm2 の実現は困難な状況にあり、光源パワーの要求値は 180W、そして

200W へと上方修正された[8]。更なるスループット要求、投影系 NA の拡大に伴うミラー枚数の

増加、レジストの高解像度化に伴う感度低下などが予想されており、光源パワー要求値は将

来的には 500W を超える可能性もある。たとえそのような超高出力光源が実現できたとしても、

真空装置である EUV 露光機には熱負荷の問題がある。高解像度や低 LER(ラインエッジラフ

ネス)との両立は容易なことではないが、高感度化もきわめて重要な要素である。

EUV マスクの多層膜は、完全な欠陥修正が容易でないことから、多層膜成膜工程の無欠

陥化や成膜前の基板の無欠陥化が大きな課題である。加えて、ペリクルの使用が困難である

ことから、後述のマスクキャリアを含めたマスクハンドリング技術も重要となる。基板、ブランクス、

マスクの欠陥低減には、欠陥検査機の開発が急務である。基板の欠陥検査には共焦点顕微

鏡の原理を応用した検査機が広く使われているが、実用感度は 40nm 程度であり[9]、更なる高

感度化が必要である。多層膜検査は露光波長の光を用いた検査[10]が必要とされており、製品

化へ向けた開発が始まっている。マスクパターン検査についても、露光光パターン検査機や

露光光顕微鏡の開発が始まっている。

照明光学系の課題は、いかに少ない枚数で照度と照明 σ の均一性を実現するかにある。

Mo/Si 多層膜の反射率はせいぜい 70%しかなく、ミラー枚数は少なければ少ないほど良い。

一方、CD 制御性(線幅均一性)の要求から、露光領域内における照度と σの均一性が必要で

あり、少ないミラー枚数でこれらを実現するためには、特殊形状をした多素子ミラー

(Multi-facet Mirror)の作製が課題となる[11]。

投影光学系の課題は広範囲にわたる。非球面ミラー加工&計測、多層膜成膜、ミラー保持、

ミラー温度制御、波面計測等の技術にそれぞれブレークスルーが必要である。hp22nm 用の

投影光学系に対する波面精度要求は 0.3nm rms と言われており、6 枚の非球面ミラーに求め

られる形状精度は 55 pm rms である。hp16nm から 11nm 用の投影光学系には波面精度 0.2nm

rms が必要となり、8 枚の非球面ミラーに求められる形状精度は 35pm rms である。多層膜によ

る反射光の位相変化は、EUV 光と可視光では全く異なるため、両波長による波面測定値の比

Page 21: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

21

較評価が急務であった。これは 2001 年度から 2005 年度まで NEDO の基盤技術研究促進事

業プロジェクトにおいて装置が開発され、2006 年度から 4 年間民間の自主研究が行なわれた[12]。ミラーの中間空間周波数帯の粗さ(MSFR)により、フレアが生じ、線幅(CD)制御性に影響

を与える。フレア量は波長の二乗の逆数に比例することから、EUV リソグラフィにおけるフレア

は、従来の光リソグラフィのそれより多くなるため、フレア対策が重要となる。また、ミラー温度制

御技術は、光源の効率が低く光学系に莫大なエネルギーが投入される EUV において、光学

系の精度維持に欠かせない開発項目である。発生した熱を効率よく排出する手段、及び、熱

の影響を受けにくいシステムの開発が要求される。マスクやウェーハでの発熱によるオーバレ

イの劣化も懸念される。

多層膜コートされたミラーは、コンタミによってその反射率が低下する。例えば照明光学系、

マスク、及び投影光学系トータルで 11 枚のミラーがあるとして、ミラー1面当たりの反射率が

70%から 69%にたった 1%低下したとして、光源からウェーハまでの伝送効率は約 15%も低下

する。コンタミには、ミラー表面に炭素がつくカーボンコンタミと、酸素と反応する酸化がある。

カーボンコンタミは防ぐ技術とクリーニングする技術が必要である。酸化は一度発生すると除

去が困難なため、防止技術[13]が必要であるが、水素ラジカルによる酸化還元方式によるクリー

ニングも研究されており[14]、大きな期待が寄せられている。

EUV に使えるペリクルの開発が困難であることから、シッピング、保管、露光機内ハンドリン

グ時のマスクへの異物付着防止技術が重要である。異物付着を防止するには、マスクへの接

触回数を極限まで減らす必要がある。シッピング、保管、露光機内ハンドリングを同一キャリア

で行なう二重ポッドコンセプトが提案されている[15]。マスクを専用のエンクロージャで保護し、

露光機などの装置でエンドエフェクタがマスクに直接触れない工夫が施されている。二重ポッ

ドコンセプトを採用したマスクキャリアは、Selete において性能評価が行なわれ、良好な評価結

果が得られている[16], [17], [18]。2009 年 7 月には SEMI 規格が成立、発行された[19]。

4-4-2 EUV 露光装置と EUV 要素開発

図 2-4-13 に、EUV 露光装置の原理図を示す。

EUV リソグラフィ技術は、従来の光リソグラフィ技術の延長線上にあり、使用波長が 13.5nm

と従来の 1/10 以下であるため、比較的大きな k1 ファクタで hp22nm 世代から 11nm 世代まで

使用できることから、微細化対応と光リソグラフィの量産性を併せ持つ唯一の技術として期待さ

れている。露光機のシステム技術に関しても、やはり従来の光リソ露光機システム技術の多く

がそのまま利用できるが、EUV 露光機固有の技術も少なからず存在する。EUV 露光機固有

ではないが、対象が微小線幅ゆえの技術課題も存在する。EUV 露光機システム技術は、非球

面投影光学系開発技術、照明光学系開発技術、高精度反射光学系対応アライメント技術、真

空中高精度マスク及びウェーハステージ技術の各サブシステムから成る。加えて、これらのサ

ブシステムを統合し、光源と組み合わせて真空容器内に構成する総合組み立て調整技術も

必要である。

Page 22: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

22

Reticle Stage

Laser Produced PlasmaSource

orDischarge

Source

:13.5nm

:1064nm

Wafer Stage

Illumination Optics

Projection OpticsAlignment Sensor

Xe Nozzle

Reticle

YAG Laser

Reticle Stage

Laser Produced PlasmaSource

orDischarge

Source

:13.5nm

:1064nm

Wafer Stage

Illumination Optics

Projection OpticsAlignment Sensor

Xe Nozzle

Reticle

YAG Laser

図 2-4-13 EUV 露光機外観 Figure 2-4-13 EUV Exposrue System

193nm 液浸リソグラフィ&ダブルパターニングにより、すでに hp32nm の量産が始まっている。

EUV 露光機に期待されるのは、3 重以上のマルチプルパターニングが必要となる世代、具体

的にハーフピッチが 20 nm を切るであろう 2015 年からの量産対応である。これまで、2007 年に

は、フルフィールドのプロトタイプ露光機(α 機)による露光結果が得られた。2010 年から 2011

年に掛けて、プリプロダクション露光機(β 機)が 6 台出荷された。2012 年には準量産用露光機

が登場する予定である。プリプロダクション露光機に適用すべく、各要素開発が2010年度末を

めどに行なわれてきたが、まだ解決すべき多くの課題が残されており、2011 年度以降も継続

開発が行なわれている。

Page 23: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

23

参考文献

[1] C. Gwyn et al.: “Extreme Ultraviolet Lithography White Paper 1998”, EUV LLC(1998).

[2] C. Gwyn et al.: “Extreme Ultraviolet Lithography White Paper 1999”, EUV LLC(1999).

[3] D. A. Tichenor et al.:”EUV Engineering Test Stand”, Emerging Lithographic

Technologies IV, Proc. of SPIE, 3997, 48(2000).

[4] EUV Lithography (SPIE Press Book), edited by Vivek Bakshi, SPIE Vol. No.: PM178

(2008).

[5] T. Tsarfati et al.: "Improved contrast and reflectivity of multilayer reflective optics for

wavelengths beyond the Extreme UV", Alternative Lithographic Technologies, Proc. of

SPIE Vol. 7271, 72713V-1 (2009).

[6] EUV Sources for Lithography (SPIE Press Book), edited by Vivek Bakshi, SPIE Vol. No.:

PM149 (2006).

[7] K. Ota et al., "Join spec", EUV Source Workshop, Feb. 2003.

[8] K. Suzuki et al, "Joint Requirements for EUV Source", International Symposium on

Extreme Ultraviolet Lithography、Oct. 2009.

[9] A. Ma et al., "Improvement of EUVL mask blank inspection capability at Intel",

Photomask and Next-Generation Lithography Mask Technology XVI. Proc. of SPIE Vol.

7379, 73790I (2009).

[10] T. Terasawa et al., "Development of actinic full-field EUV mask blank inspection tool at

MIRAI-Selete", Alternative Lithographic Technologies, Proc. of SPIE Vol. 7271,

727122-1 (2009).

[11] H. Takino et al., "Fabrication of a fly-eye mirror for an extreme-ultraviolet lithography

illumination system by arranging silicon mirror elements", Emerging Lithographic

Technologies VI, Proc. of SPIE Vol. 4688, pp.648-655 (2002).

[12] K. Sugisaki et al., "EUV Wavefront Measurement of six-mirror optic using EWMS",

Emerging Lithographic Technologies XII, Proc. of SPIE Vol. 6921, 69212U (2008).

[13] S. Bajt: "Oxidation resistance of Ru-capped EUV multilayers", Emerging Lithographic

Technologies IX, Proc. of SPIE Vol. 5751, 118-127 (2005).

[14] I. Nishiyama, "Atomic Hydrogen Cleaning for EUV Optics Contamination", International

Symposium on Extreme Ultraviolet Lithography, Oct. 2007.

[15] Y. Gomei et al. "EUVL mask dual pods to be used for mask shipping and handling in

exposure tools”, Emerging Lithographic Technologies XI, Proc. of SPIE Vol. 6517,

65170W (2007).

[16] M. Amemiya et al., "Particle-Free Mask Handling Techniques and a Dual-Pod Carrier",

Emerging Lithographic Technologies XII, Proc. of SPIE Vol. 6921, 69213T (2008).

[17] M. Amemiya et al., " Experimental Study of Particle-free Mask Handling", Alternative

Page 24: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

24

Lithographic Technologies, Proc. of SPIE Vol. 7271, 72713G (2009).

[18] K. Ota et al., "Selete EUV Reticle Shipping and Storage Test Results", Photomask and

Next-Generation Lithography Mask Technology XV, Proc. SPIE, Vol. 7028, 70280I

(2008).

[19] SEMI E152-0709, "Mechanical Specification of EUV Pod for 150 mm EUVL Reticles",

(2009).

4-5 ML2 ( Maskless Lithography )

4-5-1 ML2(マスクレス・リソグラフィ)の全体状況

電子ビームを用いたウェーハ直接描画技術は、パターンデータをもとにウェーハに直接パタ

ーンを描画する技術である。マスクを必要としないため、マスクレスリソグラフィと呼ばれ、リソグ

ラフィ工程や工期を圧縮できる可能性がある。しかし、電子ビーム直接描画の処理能力が低

いため、これまでは、デバイスの開発・試作や ASIC の QTAT(Quick Turn Around Time)製造

等の用途に限定して使用されてきた。電子ビームを用いたウェーハ直接描画の処理能力向上

のため、種々の描画方法が提案されている。ビームあるいはカラムをマルチ化することが、電

子ビーム直接描画を高スループット化するための基本的アイデアである。マルチカラムは、カ

ラムの本数を複数にして、並列描画する方式である。マルチビームは、1カラム内のビーム本

数を複数にして、並列描画する方式である。

マルチビーム方式には、1つの電子銃から放射された電子をアパーチャアレイで多数のビ

ームに分けた後、透過型ブランカーアレイで個別にオンオフして、パターンを描画する技術が

ある。また、反射型パターンジェネレータでピクセル毎にビームをオンオフする描画技術がある。

現在、継続的に開発成果が報告されている透過型ブランカーアレイによるマルチビーム描画

装置に PML2 と MAPPER がある。また、反射型パターンジェネレータによるマルチビーム描画

装置に REBL がある。PML2、MAPPER、REBL の概要を図 2-4-14 に示した。

Page 25: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

25

図 2-4-14 PML2,MAPPER,REBL の概要

Fig 2-4-14 Outline of PML2, MAPPER,REBL

PML2 は、IMS Nanofabrication が開発しているシングルカラム・マルチビーム方式の描画装

置である。[1],[2],[3],[4] MEMS 技術で製作したアパーチャプレートシステム(APS)を用いる。電子

ビームをアパーチャアレイにより、数 1000 本~1000 万本程度( 終目標)の多数本のビーム

(ビームレット)に分ける。アパーチャアレイの各開口の下流に、個別にブランカー電極を設け

て、ビームレットを個別に偏向する。偏向されたビームレットは 終絞りを通過できない。 終

絞りを通過したビームレットに対応して、アレイ開口の 1/200 縮小像をウェーハに投影する。各

開口のウェーハ上における像サイズは約 15nm である。ステージ移動と同期して、ステージ移

動方向に並んだビームレットのオンオフ状態を制御することにより、ウェーハ上の各点を複数

回のビームレットで多重照射し、ウェーハにパターンを描画する。一つ一つの開口像サイズは

固定のため、多重照射の照射回数を制御するグレースケール(Gray Scale)制御により、描画

パターンの線幅を制御する。PML2 をウェーハ直接描画に適用する場合の目標スループット

は 5wph である。

PML2 と同様な描画方式を持つ電子ビームマスク描画装置 eMET 開発が行われている。[5],[6],[7],[8] 加速電圧 50KeV、個別ビーム径約 20nm、総ビーム本数約 25 万本のマッシブパラレ

Page 26: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

26

ル型電子ビーム描画装置である。先端フォトマスクを 10 時間以内で描画することを目標として

いる。eMET カラムの原理検証(Proof-of-Concept; POC)結果が報告されている。線幅 20nm

程度のデバイステストパターンの描画結果や、Gray Scale 制御による線幅制御結果が得られ

ている。これらの結果は、描画シミュレーションの結果とよく一致する。現在、原理検証段階を

終了し、量産機の試作が進められている。2012 年にシステムインテグレーションを行い、2013

年には描画時間を含むシステム評価結果を出すことを目標としている。

MAPPER は、MAPPER Lithography が開発するシングルカラム・マルチビームの描画方式で

ある。[9],[10] 低加速電圧 5keV の電子ビームを利用する。電子ビームをアパーチャアレイにより、

110 本(現在)~13000 本( 終目標)の多数本のビーム(ビームレット)に分ける。アパーチャア

レイを配置した領域が非常に広い(26x26mm)のが特徴である。アパーチャアレイ全体を電子

ビームで均一に照射する。アパーチャアレイの各開口を通過したビーム(ビームレット)は全体

としてクロスオーバ像を結ぶことなく、静電レンズによりウェーハ上に結像する。静電レンズ下

部にあるデフレクターアレーが、各ビームレットをウェーハ上約 3um 幅で走査する。同時に、ブ

ランカーアレイにより各ビームレットをオンオフ制御して、ウェーハ上にパターンを描画する。加

速電圧 5keV、有効な電子ビーム電流値の総計 150uA、アパーチャアレイ 26x26mm 範囲の均

一照射、の各条件をすべて満たせば、ウェーハ直接描画に適用する場合のスループット

10wph が可能である。また、10wph の MAPPER 装置を 10 台集めて(クラスタリング)、100wph

スループットのシステムが提案されている。

現在、110 本ビームの MAPPER 社の pre-α機を使って評価が行われている。[11]

45nm1:1LS パターンを各ビームレットで個別に描画し、110 本ビーム間の線幅ばらつき約 3nm、

ウェーハ間の線幅再現性 3nm の描画結果が得られている。また、近接効果補正パラメータを

決定して、小規模なデバイスパターンを描画した結果も報告されている。描画に使えるトータ

ルビーム電流値が目標の約 1/50 程度にとどまっていることが、Mapper の現状における 大課

題である。アパーチャアレイの開口数を約 50 倍増やすことが提案されている。[12] この場合、シ

ステム規模が拡大し、複雑さも増すため、量産機の開発完了時期が延伸することが懸念され

る。

REBL は、KLA-Tencor が開発するシングルカラム・マルチビームの描画方式である。[13] 加速

電圧 50keV の電子銃を用いる。50keV に加速された電子ビーム軌道を電磁界で曲げて、DPG

(Digital Pattern Generator)と呼ばれるパターン発生部に導く。DPG 全体に電子銃の加速電圧

と同じ電圧 50kV を逆極性で印加する。電子ビームは DPG に達した時には減速し、電子銃カソ

ードにおける熱電子発生直後と同程度の運動エネルギ(1eV 程度)になる。DPG には 4096×

248 個の電極(画素電極)を配置する。各画素電極に個別に+2V あるいは 0V の電圧を重畳する

と、減速されてほとんど運動エネルギーを持たない電子のうち、+2V 電極近傍の電子だけが電

極に引き付けられる。これにより画素電極に印加した電圧パターンに相当したパターンを発生す

る。カラムの下半分は、このパターンを 50kV で逆向きに再加速し、1/50 に縮小して試料面に転

写する光学系である。ウェーハ直接描画に適用する場合の目標スループットは、hp45nm におい

Page 27: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

27

て、コンタクト Via 層で 45wph、ゲート層で 5wph、配線層で 2wph である。

REBL カラムを製作し、描画特性の検証結果が報告されている。DPG の構造がパターンの解

像性に大きく影響することが分かった。[14] 画素電極に個別にオンオフ電圧を印加したとき、電極

間で電界の干渉が発生する。これによりビームコントラストが低下し、描画パターンの解像性が

劣化する。これを避けるため新しいタイプの DPG が検討されている。MEMS で形成した深さ数

µm の分離壁で DPG 画素電極を相互に分離し、電極間電界の干渉を防止する。さらにこの分離

壁に多層の静電レンズ電極を形成し、電子ビームを電極面で収束させる方式が検討されている。

また、REBL カラムの小型化やカラム光学系構造の見直し、データパスの検討も進められている。[15],[16]

マルチカラム方式は、複数個の電子ビームカラムを試料ウェーハ上に設置する方式である。

電子銃(電子源)、レンズ、偏向器等をカラム個別に用意し、各カラムの電子ビームを独立に制

御することにより、ウェーハにパターンを描画する。適切に描画条件を設定すれば、マルチカラ

ム方式の描画装置は、単一カラム(シングルカラム)の描画装置より、カラム本数分だけ描画時

間が早くなり、スループットも向上するものと考えられる。マルチカラムの製作方法には、ウェーハ

張り合わせ、貫通穴形成、断面加工などの半導体プロセス技術を用いて、複数本カラムを一度

に製作する方法がある。このような方法で製作したミニチュアサイズのマルチカラムの研究が行

われている。また、通常の電子ビームカラムの径を小さくし、それらを複数個集めてマルチカラム

を製作する方法がある。代表例であるマルチカラム(MCC)方式の開発現状を、次節以降で紹

介する。

ML2 実用化のためには、数多く提案されている ML2 技術それぞれの将来性を見極め、見通

しのある方式に戦略的に開発資源を投入することが必要である。2015 年の 1Xnm ノードデバイス

の試作に向けてML2の実用化が期待される。このために民間は勿論、官学とも連携しML2の開

発を加速することが求められる。

参考文献

[1] C. Klein, E. Platzgummer, J. Klikovits, W. Piller, H. Loeschner, T. Bejdak, P. Dolezel, V.

Kolarik, W. Klingler, F. Letzkus, J. Butschke, M. Irmscher, M. Witt, W. Pilz, P. Jaschinsky,

F. Thrum, C. Hohle, J. Kretz, J. T. Nogatch, and A. Zepka;“PML2: the maskless

multibeam solution for the 22nm node and beyond”, Proc. SPIE Vol. 7271, 72710N

(2009)

[2] Elmar Platzgummer, Christof Klein, Peter Joechl, Hans Loeschner, Martin Witt, Wolfgang

Pilz, Joerg Butschke, Michael Jurisch, Florian Letzkus, Holger Sailer and Mathias

Irmscher, "Charged particle multi-beam lithography evaluations for sub-16nm hp mask

node fabrication and wafer direct write", Proc. SPIE 7488, 74881D (2009)

[3] C. Klein, E. Platzgummer, H. Loeschner, G. Gross, P. Dolezel, M. Tmej, V. Kolarik, W.

Klingler, F. Letzkus, J. Butschke, M. Irmscher, M. Witt, and W. Pilz; “Projection maskless

Page 28: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

28

lithography (PML2): proof-of-concept setup and first experimental results”, Proc. SPIE

Vol. 6921, 69211O (2008)

[4] Elmar Platzgummer, Hans Loeschner, and Gerhard Gross;“Results obtained with the

CHARPAN Engineering Tool and prospects of the ion Mask Exposure Tool (iMET)”,

Proc. SPIE Vol. 7122, 71220L (2008)

[5] Christof Klein, Jan Klikovits, Hans Loeschner and Elmar Platzgummer, "eMET: 50 keV

electron multibeam mask exposure tool", Proc. SPIE 7970, 79700C (2011)

[6] Elmar Platzgummer, Christof Klein and Hans Loeschner, "eMET POC: realization of a

proof-of-concept 50 keV electron multibeam mask exposure tool", Proc. SPIE 8166,

816622 (2011)

[7] Elmar Platzgummer, Stefan Cernusca, Christof Klein, Samuel Kvasnica, Bernd Sonalkar

and Hans Loeschner, "eMET: development of a 50 keV electron projection multibeam

mask exposure tool for the 16nm hp technology node and below", Proc. SPIE 7748,

77480H (2010)

[8] Elmar Platzgummer, Stefan Cernusca, Christof Klein, Jan Klikovits, Samuel Kvasnica

and Hans Loeschner, "eMET: 50 keV electron mask exposure tool development based on

proven multi-beam projection technology", Proc. SPIE 7823, 782308 (2010)

[9] M. J. Wieland, G. de Boer, G. F. ten Berge, R. Jager, T. van de Peut, J. J. M. Peijster, E.

Slot, S. W. H. K. Steenbrink, T. F. Teepen, A. H. V. van Veen, and B. J. Kampherbeek;

“MAPPER: high-throughput maskless lithography”, Proc. SPIE Vol. 7271, 72710O

(2009)

[10] E. Slot, M. J. Wieland, G. de Boer, P. Kruit, G. F. ten Berge, A. M. C. Houkes, R. Jager, T.

van de Peut, J. J. M. Peijster, S. W. H. K. Steenbrink, T. F. Teepen, A. H. V. van Veen, and

B. J. Kampherbeek; “MAPPER: high throughput maskless lithography”, Proc. SPIE Vol.

6921, 69211P (2008)

[11] L. Pain, B. Icard, M. Martin, C. Constancias, S. Tedesco, P. Wiedeman, A. Farah, B. J.

Kampherbeek, C. Pieczulewski and H. Kandrashov, "IMAGINE: an open consortium to

boost maskless lithography take off: first assessment results on MAPPER technology",

Proc. SPIE 7970, 79700Y (2011)

[12] M. J. Wieland, H. Derks, H. Gupta, T. van de Peut, F. M. Postma, A. H. V. van Veen and Y.

Zhang, "Throughput enhancement technique for MAPPER maskless lithography", Proc.

SPIE 7637, 76371Z (2010)

[13] Paul Petric, Chris Bevis, Allen Carroll, Henry Percy, Marek Zywno, Keith Standiford,

Alan Brodie, Noah Bareket, and Luca Grella; “REBL: A novel approach to high speed

maskless electron beam direct write lithography”, J. Vac. Sci. Technol. B27, ( 2009 ) 161

[14] Regina Freed, Jeff Sun, Alan Brodie, Paul Petric, Mark McCord, Kurt Ronse, Luc

Page 29: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

29

Haspeslagh and Bart Vereecke, "Demonstration of lithography patterns using reflective

e-beam direct write", Proc. SPIE 7970, 79701T (2011)

[15] Paul Petric, Chris Bevis, Mark McCord, Allen Carroll, Alan Brodie, Upendra Ummethala,

Luca Grella, Anthony Cheung and Regina Freed, "New advances with REBL for

maskless high-throughput EBDW lithography", Proc. SPIE 7970, 797018 (2011)

[16] Faruk Krecinic, Shy-Jay Lin and Jack J. H. Chen, "Data path development for multiple

electron beam maskless lithography", Proc. SPIE 7970, 797010 (2011)

4-5-2 MCC(マルチカラムセル)描画方式の開発状況

可変矩形や CP 描画方式のシングルカラム描画装置が、デバイスの開発・試作に使用されて

いる。技術的には確立したこれらの描画方式を活用しながら、描画スループットを向上するた

めに、マルチカラム(MCC)方式の描画装置を製作した。電子ビーム描画のスループットを飛

躍的に向上するためには、カラムを複数本に分けて並べることが本質的に重要である。その理

由を以下に説明する。

12 インチウェーハを、加速電圧 50keV、レジスト感度 30µC/cm2、スループット 5wph で描画す

る場合を考える。パターンを形成するためウェーハに照射しなければならない総電荷量と描画

時間から、この条件で描画するためには、10µA 以上のビーム電流値が必要である。10µA の

ビーム電流を通常の電子光学系をもつカラムに通した場合、クーロン相互作用により、例えば

1Xnm ノードパターンの解像性を得るのは非常に困難である。電流値 10µA を 10 本のカラム

に分けるとすれば、1 カラム当たりの電流値は 1µA となる。この場合、クーロン相互作用の影響

は小さく、解像性とスループットの両立が可能となる。また、1 本のカラムでビーム電流値 10µA

と解像性を両立するような電子光学系が可能であったとしても、スループット 5wph を実現する

ためには 20MHz 以上のショットサイクルが必要である。加速電圧 50keV の電子ビームを一定

以上の範囲に偏向し、偏向位置でビームを静定させることが必要な偏向器で、この速いショッ

トサイクルを実現することは技術的難易度が高い。また、解像性と両立するためにレジスト感度

が低下する傾向にあり、レジスト感度と電流密度の比から決まるショット時間を、このショットサイ

クルに合わせることも技術的難易度が高い。10 本のカラムに分けて各カラムが個別独立に描

画可能とすると、各カラムの偏向器に要求されるショットサイクルは 2MHz 程度となり、これは十

分に実現可能な値である。以上述べたように、電子線描画装置において、高解像性とスルー

プットを両立するためにカラムを複数本に分けることは、本質的に必要である。

Page 30: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

30

HOST

Stage control unit

Gun HV Power Supply

Disk

MCC Column

Mask Substrate

CC1 CC2

CC3CC4

100um 100um

75mm

75m

m

LENS Power Supply

Patterndata

Data Correctio

n

Analog Circuits

HOST

Stage control unit

Gun HV Power Supply

Disk

MCC Column

Mask Substrate

CC1 CC2

CC3CC4

100um 100um

75mm

75m

m

LENS Power Supply

Patterndata

Data Correctio

n

Analog Circuits

図 2-4-15 MCCの検証システムの概要(左図)と描画シーケンス(右図)

Fig2-4-15 Schematic diagram of MCC verification system(Left)

and writing sequence(Right)

カラム本数分スループットが向上し、かつ、解像性・精度が得られるという MCC 方式のコン

セプトを検証するために、4 本カラムからなる検証システムを製作した。[1],[2],[3],[4] システムの概

要を図 2-4-15 に示した。4 本のカラムは、個別に電子銃、電磁レンズ、偏向器等を持ち、各カ

ラムを独立に制御する。システムの主要部分を写した写真を図 2-4-16 に示す。写真中心付近

に見える円筒形部分の中に 4 本のカラムがある。円筒の両側に、4 本カラムの各偏向器を駆動

するアナログアンプを搭載する。カラム下部の真空チャンバ内には、試料ウェーハを搭載した

ステージを設置する。各カラムのビーム電流条件は、従来のシングルカラムのビーム電流とほ

ぼ同じである。つまり 4 本カラム全体では従来カラムの約 4 倍のビーム電流を利用する。

Page 31: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

31

図 2-4-16 MCCシステムの主要部

Figure 2-4-16 Majar part of MCC verification system

図 2-4-17 は、本 MCC システムで描画した解像性評価パターンを示す。図に示すように

20nm1:1LS パターンを解像した。図 2-4-18 は、本 MCC システムの異なるカラム CC1 と CC2

で描画したパターンに対して、露光量 vs.線幅の関係を比較したものである。露光量vs.線幅の

関係は、異なるコラムで描画した場合も、広い露光量範囲で一致していることが分かる。これは、

本 MCC システムの各カラムで、ビームの強度均一性やエッジシャープネスなどの特性がよく

一致していることを示す。図 2-4-19 は、4 本カラムの描画位置精度を示す。第一~第四象限

の結果は、それぞれ別のカラム CC1~CC4 で描画したものである。この描画結果から、本

MCC システムの 4 本カラム全体の描画位置精度は、下層パターンとの重ね位置ずれで 4nm

以下が実現していることが分かった。[5]

図 2-4-17 MCCシステムによる解像性パターン描画結果

ポジレジスト、膜厚 70nm

Page 32: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

32

Figure 2-4-17 Patterning results by MCC verification system

by using 70nm thick positive resist

図 2-4-18 MCCシステムの異なるコラムCC1 とCC2 で描画されたパターンに

おける露光量 vs.線幅の比較結果

Figure 2-4-18 Dose vs. CDcurve comparisen between exposed

patterns by CC1 and CC2 in MCC verification system

図 2-4‐19 MCC システムによる描画位置精度評価結果

Figure 2-4-19 Exposure position accuracies by MCC verification system

Page 33: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

33

重要な検証項目として、4 本カラムを持つ本 MCC システムが、シングルカラムの描画システ

ムと比較して、約 4 倍の描画スループットが得られるかを検証した。結果を図 2-4-20 に示す。

図 2-4-20 左側の図はシングルカラムの描画シーケンスを表し、右側の図は 4 本カラムの MCC

システムの描画シーケンスを表す。シングルカラムおよび 4 本カラムのそれぞれに対して同じ

電流密度を設定し、レジスト感度を共通にした。また、図 2-4-20 左側、右側ともに hp45nm デバ

イスパターンを 150mm x 150mm 範囲全面に描画する条件で比較した。本 MCC システムは、

シングルカラムシステムと比較して、約 1/3.7 の描画時間で図 2-4-20 の領域全体を描画できる

ことを検証した。マルチカラムのカラム間距離が描画したデバイスサイズの整数倍ではないた

め、各カラムは同時に異なるパターンを描画する。一方、ステージは共通なので、ステージ速

度は描画が遅く終了するカラムにあわせて移動する。このため 4 本カラムの描画時間は、シン

グルカラム描画時間の正確に 1/4 にはなっていない。しかし約 1/4 が実現しており、カラム本数

分だけ描画時間を圧縮するという MCC 方式の目標を検証することができた。

b (=100um)

75mm

75mma (=10um)

b (=100um)

75mm

75mma (=10um)

8b(=800um)

(=80um)8a

8b(=800um)

(=80um)8a

シングルコラムの描画シーケンス

4本コラムMCC検証システムの

描画シーケンス

4本コラムMCC検証システムの描画時間/シングルコラムの描画時間

= 1/3.7 (実機評価値) 図 2-4-20 シングルコラムの描画シーケンス(左図)と

MCC システムの描画システム(右図)

Figure 2-4-20 Writing sequence of single column ( Left)

and MCC system ( Right)

Page 34: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

34

MCC 描画によるスループット見積もり結果を図 2-4-21 に示した。横軸は、ショット数、縦軸は、

1時間あたりの処理枚数である。図2-4-21の見積もりでは、各カラムの電流密度50A/cm2、レジ

スト感度 10µC/cm2、偏向器のセットリング待ち時間 50ns を仮定した。それぞれ4本カラムの場

合(4MCC_type1、4MCC_type2)、8 本カラムの場合(8MCC)、16 本カラムの場合(16MCC)を

示した。カラム本数の増加に応じて描画スループットが向上していることが分かる。16 本カラム、

ショット数 100Gshots/ウェーハで、描画スループットは 2wph 程度である。スループットを向上す

るに は、ウ ェー ハ 当 た りの シ ョッ ト 数を減 ら す こと が 重要 であ る。こ の た め部 分 一括

(CP:Character Projection)描画方式を利用する。次節は部分一括(CP)描画方式の開発状況

を紹介する。

16MCC8MCC4MCC_type14MCC_type2

00

1

2

3

4

5

6

7

Thro

ughp

ut @

300m

m (W

PH) 8

# of shot (G)10080603010 20 40 50 70 90

MCC

CP + DFEB

4CC_type2

4CC_type1

4CC_type24CC_type2

4CC_type14CC_type1

300mmウェハとマ

ルチコラム配置

図 2-4-21 MCC 方式によるコラム配置とスループット見積り

各コラムの電流密度:50A/cm2 ,レジスト感度:10μC/ cm2,

偏向器のセトリング時間:50ns

Figure2-4-21 Column Layout and estimation of throughput of MCC system

Current density of each column: 50A/cm2 ,Resist sensitivity: 10μC/ cm2,

Settling time of deflector : 50ns

参考文献

[1] Akio Yamada, Hiroshi Yasuda, and Masaki Yamabe; “Evaluation of each electron beam

and exposure results with four column cells in multicolumn e-beam exposure system”, J.

Vac. Sci. Technol. B27, ( 2009 ) 2518

[2] Akio Yamada, Hiroshi Yasuda, and Masaki Yamabe, “Exposure results with four column

cells in multicolumn EB exposure system”, Proc. SPIE Vol. 7488, 74881F (2009)

Page 35: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

35

[3] Akio Yamada, Yoshihisa Ooae, “Cell projection use and multi column approach for

throughput enhancement of EBDW system”, Proc. SPIE Vol. 78231, 78231H (2010)

[4] Akio Yamada, Yoshihisa Oae, Tatsuro Okawa, Masahiro Takizawa, and Masaki

Yamabe,” Evaluation of throughput improvement by MCC and CP in multicolumn

e-beam exposure system”, Proc. SPIE 7637, 76370C (2010)

[5] Masahiro Takizawa, Hideaki Komami, Masaki Kurokawa, and Akio Yamada, “Position

accuracy evaluation of multi-column e-beam exposure system”, Proc. SPIE 7970,

79700B (2011)

4-5-3 CP 描画方式の開発状況

CP 描画方式の概要を図 2-4-22 に示す。[1],[2] ビーム偏向により、ステンシルマスク(CP マス

ク)上にあらかじめ用意した複数個の部分一括転写パターン(CP パターン)の中からパターン

を選択し、数 µm 範囲を一度に転写する。CP パターンをマスクに用意すれば、パターン内容

の複雑さ微細さにかかわらず、試料上の繰り返し部分を効率よく描画できる。

CP描画

CPマスク

デフレクタ

電子ビーム

可変矩形描画

ウェハ

第2矩形アパーチャ

デフレクタ

電子ビーム

第一矩形アパーチャ

ウェハ

第一矩形アパーチャ

図 2-4-22 CP 描画方式(右図)と可変矩形描画方式(左図)の比較

Figure2-4-22 Comparison of CP writing method (Right)

and variable rectangular method(Left)

Page 36: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

36

CP によるショット数削減率を向上するために、CP 描画に適したデバイス設計手法 DFEB-CP

(Design for EB with Character Projection)が開発された。[3] DFEB-CP の設計手法とショット数

削減率を図 2-4-23 に示した。図 2-4-23 の左側の図に示すように、通常の CP 描画では、設計

データからパターンの繰り返し性に注目して CP パターンを抽出する。右側の図に示すように、

このような方法では 100~200 個の CP パターンを用意したとしても、ショット数削減率は 1/3~

1/5 にとどまる。左側の図の DFEB-CP では、設計上流で CP を利用するのに適切なパターンの

ライブラリ(DFEB Overlay Library)を用意し、このパターンライブラリを 大限に使う形で設計

を進める。右側の図に示すように、DFEB-CP を使えば、電子ビーム描画のショット数は削減率

1/10~1/25 となる。また、パターンライブラリを使って設計したデバイスのチップ面積、消費電

力、動作スピードなどに対するペナルティーを評価している。これらペナルティーはゼロではな

いが、許容できる範囲であることが示された。図 2-4-24 は CP を使って描画したパターンを示し

た。これらはすべて CP を用いて 1 ショットで形成したパターンである。

Photo EB(CP) EB(DFEB-CP)

CP EB(DFEB-CP)

図 2-4-23 設計手法(左図)とショット数削減率(右図)

Figure2-4-23 Design methodology (Left)

and shot count (write time) reduction rate (Right)

Page 37: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

37

Gate

Contact

SRAM

SRAM SRAM

図 2-4-24 CP 描画結果 CP を選択することにより、

各パターンを1ショットで描画

Figure 2-4-24 CP patterning results. Each pattern is written

by one shot by selecting CP mask

電子ビーム直接描画で 5wph 以上の高スループット化を実現するためには、マルチカラム化

だけでは不十分で、CP 描画機能を 大限有効利用した高いショット数圧縮が必須となる。

300mm ウェーハ全面に対して 100Gshots/ウェーハ程度の描画ショット数に抑える必要がある。

この要件は、凡そサイズ 0.8µm□のタイルのみで回路パターンをウェーハ全面にレイアウトする

ことに相当し、設計上流の RTL まで遡ったアプローチ無しには実現できない。数千種類の基

本部品をうまく定義する事により、CP 部品のみで LSI の回路を構成する CP エレメントベース

デザインと称する手法の見通しが得られつつある。この概要を図 2-4-25 に示した。

Page 38: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

38

All CP structural wiring

Cell Design

Interconnectdesign

Memory design CELL

CP G

S A( h a l f )

r e p e a t e r

S A

I O

S A

MDEG

CDEC

CELL

CELL

CELL

CELL

CP size MAX value :1.5*1.5umAvailable CP variation : 4000

CP resource restriction

CP-MAX size

Target shot count

Peripheral circuit

○100GShot/wafer@14nm-node=tiling with 0.82um*0.82un rectangle

CP-MAX size

Multi cell clustering CP

Numerous variation of peripheral circuit

Effective CP assignment

CP-boundaryCell-A

Cell-A Cell-B

Cell-B

Cel

l-C

Cel

l-C

14nm-node cell height (0.4um)

CP-height (0.8um)

All CP structural wiring

Cell Design

Interconnectdesign

Memory design CELL

CP G

S A( h a l f )

r e p e a t e r

S A

I O

S A

MDEG

CDEC

CELL

CELL

CELL

CELL

CELL

CP G

S A( h a l f )

r e p e a t e r

S A

I O

S A

MDEG

CDEC

CELL

CELL

CELL

CELL

CP size MAX value :1.5*1.5umAvailable CP variation : 4000

CP resource restriction

CP-MAX size

Target shot count

Peripheral circuit

○100GShot/wafer@14nm-node=tiling with 0.82um*0.82un rectangle

CP-MAX size

Multi cell clustering CP

Numerous variation of peripheral circuit

Effective CP assignment

CP-boundaryCell-A

Cell-A Cell-B

Cell-B

Cel

l-C

Cel

l-C

14nm-node cell height (0.4um)

CP-height (0.8um)

CP-boundaryCell-A

Cell-A Cell-B

Cell-B

Cel

l-C

Cel

l-C

14nm-node cell height (0.4um)

CP-height (0.8um)

図 2-4-25 CPによるウェーハ全面タイリングのためのCPエレメントデザイン

Figure 2-4-25 CP element design method for whole wafer tiling with CP.

例えば 14nm ノードデバイスでは、デバイスの機能単位であるセルの高さ予想値は約 0.4µm

である。0.8µm□以上のCPでウェーハ全面を描画するためには、複数のセルを同一CPに混載

する必要がある。特に NAND,NOR,INVERTER 等の多用されるセルの幅は 0.1~0.4µm と小さ

いため、CP 内に多数セルを混載する必要がある。メモリセルの領域はパターンの繰り返し性が

高いため、比較的個数の少ない決まった CP で、メモリセル領域全面を描画可能である。しか

し、メモリの種類が多いのに加えて、サイズが大きいセンスアンプ、ワードデコーダ、カラムテデ

コーダ、IO等が存在する周辺回路は、延べ面積が大きく、トータル数千個種類の CP を必要と

する。また、ランダム配線を、等間

隔で並んだ配線トラックと各トラック上の固定位置の切断点の組み合わせで構成する新しい手

法が考案された。以上により、CP のエレメント部品のみで LSI 回路のレイアウトパターン全体を

構成する方法の見通しが立ちつつあるのが現状である。

以上のような描画パターン設計サイドの工夫と、マルチカラム(MCC)方式や CP 描画方式な

ど新しい描画装置機能を組み合わせることにより、電子ビームによるウェーハ直描技術を実用

化するための開発が進められている。

参考文献

[1] Akio Yamada and Takayuki Yabe; “Correcting deviations in the shape of projected

images in the electron beam block exposure column”, J. Vac. Sci. Technol. B21, (2003)

2680

[2] Takayuki Yabe and Akio Yamada; “CD and IP accuracy in electron beam character

projection technology”, Microelectronic Engineering, Vol.84 (2007) 841

Page 39: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

39

[3] Takashi Maruyama, Yasuhide Machida, Shinji Sugatani, Haruo Tsuchikawa, Hiromi

Hoshino, Masaru Ito, Haruyuki Tago, Larry L. Chau, Shone Lee, and Hideaki Komami;

“Design for electron beam: A novel approach to electron beam direct writing throughput

enhancement for volume production”, J. Vac. Sci. Technol. B27, ( 2009 ) 2532

4-6 リソグラフィ用光源装置

現在、実用化されている縮小投影露光用の光源には、水銀ランプ(i 線、波長 365nm)、KrF

エキシマレーザ(波長 248nm)、ArF エキシマレーザ(波長 193nm)があり、今後の更なる微細

加工の 有力候補として EUV 光(波長 13.5nm)がある。本稿では、2011 年以降のクリティカル

プロセスで使用される ArF エキシマレーザと EUV 光について述べる。

4-6-1 ArF エキシマレーザ

ArF エキシマレーザは、193nm ドライおよび 193nm 液浸リソグラフィの光源であり[、およそ

100nmハーフピッチのデザインルールの露光から、現在の 45nmハーフピッチの露光まで用い

られる主力光源である。今後もダブルパターン技術と組み合わせた 193nm 液浸リソグラフィが

32nm、22nm ハーフピッチパターン作成の有力技術である。

さらに、EUV 露光プロセスが確立するまでは、193nm 液浸リソグラフィとマルチプルパターン技

術との組み合わせにより、22nm 以降のハーフピッチ作成の露光手段になると考えられることか

ら、ここ数年は ArF エキシマレーザを光源とした 193nm リソグラフィがクリティカルレイヤーの露

光用光源になると考えられる。

リソグラフィから光源への主な要求性能は、微細化のためのスペクトル性能と、スループット

向上のための高出力化である。

スペクトル性能指標として、全スペクトルエネルギーの 95%が含まれる波長幅の値(E95)が

使用されており、露光機の NA が大きくなるとより狭い E95 が要求される。例えば、現在の

193nm 液浸露光で使用されている NA>1.3 の露光機では E95=0.35pm の光源スペクトルが

要求され、さらに光源の E95 が変化すると CD 感度変化、光学的近接効果補正 OPC の結果

に影響を与え、マスク設計にも影響を与えるため、光源の E95 は長時間一定、同一であること

が要求され、これまでに 45nm ハーフピッチ以下のデザインルールで使用される ArF エキシマ

レーザ光源には E95 を一定値に安定する機能が備えられている[1]。さらには、露光機の焦点

深度を大きくし、コンタクトホール形成時のプロセス増強をはかるために、レーザスペクトルを拡

大する技術も検討されている[2]。また、22nm ハーフピッチ以下ではこれまで無視し得た光源性

能も無視できなくなる可能性がある。

高出力化の要求に対しては、スペクトルを狭帯域化したレーザ光を発生させる発振器とそれ

を増幅する増幅器を持つインジェクションロック方式や、MOPA(Master Oscillator Power

Amplifier)方式のツインチャンバ方式の ArF レーザが主流である。これまでにツインチャンバ

Page 40: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

40

方式の 40W、60W、90W 機が製品化されており、今後、露光機性能アップのために、更なる高

出力化が要求される可能性もある。更に各デバイスメーカーの使用エネルギーに合わせ、レ

ーザ出力を 60~90W で設定することで、フレキシブルに出力を設定できるレーザも製品化さ

れている[3]。

これまでに述べたレーザ光の基本性能以外にも、ランニングコストの低減、ダウンタイム低減

も重要な技術である。ランニングコストの主要因であるレーザチャンバや光学モジュールのコス

ト低減のために、これらのモジュール耐久性能の改善も飛躍的に進められている。また、ダウ

ンタイム低減の主要因となっているエキシマレーザガス交換についても、ガス交換間隔を伸張

することにより、ダウンタイム低減する技術も進められている[3]。

参考文献

[1] T. Suzuki et al. “Ultra line narrowed injection lock laser light source for higher NA ArF

immersion lithography tool” , Proc. SPIE 6520-75(2007)

[2] T. Kumazaki et al. “Performance of Bandwidth Tuning Laser for Focus Drilling” 2011

International Symposia on Extreme Ultraviolet Lithography and Lithography Extensions

[3] H. Umeda et al. “Ecology and high-durability injection locked laser with flexible power for

double-patterning ArF immersion lithography” , Proc. SPIE 7973-55(2011)

4-6-2 EUV 光源

高温高密度プラズマから放射される、13.5nm 近傍の極端紫外線(EUV)を光源として用いる

EUV リソグラフィは、反射光学系(反射率 68%程度)による縮小投影が可能であるという特徴を

いかしたリソグラフィであり、22nm ハーフピッチ以降の半導体リソグラフィ技術の有力候補であ

る(図 2-4‐26)。EUV リソグラフィ実用化の鍵の一つには、実用的な EUV 光源の開発があげ

Page 41: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

41

られる。

図 2-4-26 EUV 露光装置の構成

Figure2-4-26 Component of EUV photolithography

EUV 光源には、レーザ光をターゲットに照射することにより、EUV 光を得るレーザプラズマ

方式(LPP:Laser Produced Plasma)と、大電流パルス放電によって生成されるプラズマから

EUV 光を得る放電プラズマ方式(DPP:Discharg Produced Plasma)に大別される。

これまで、2002 年 6 月に、50nm ハーフピッチ世代以降の半導体製造用に適用可能な光源、

装置等から構成される EUV リソグラフィ技術開発を目的として技術研究組合極端紫外線露光

システム技術開発機構(Extreme Ultraviolet Lithography System Development Association:略

称;EUVA)が発足し、2002~2007 年度までの 5 年間で LPP 方式と DPP 方式の実証試験を行

なった。主に LPP 方式が EUVA 平塚研究室で、DPP 方式が EUVA 御殿場研究室で行なわ

れてきた。その結果として両方式が並存し[1]、さらには実験室レベルでの 100W 以上の出力を

達成し 2010 年度に終了した。その結果を受けて、民間企業において EUV 光源システムの開

発が進められている。

(1) LPP 方式光源

LPP 方式は Sn ターゲットに CO2レーザを照射することによりプラズマを発生させて EUV 光

を発生させている。

図 2-4-27 に LPP 方式の概念図を示す。プラズマ生成用の CO2レーザシステムには MOPA

(Master Oscillator Power Amplifier)システムを採用し、発振段のパルス光を複数の CO2 レー

ザシステムで増幅することにより、 大約 13kW の出力を得ている[2]。Sn を融点以上に加熱・

液化して吐出することによって、Sn 液滴の生成を行なっている。直径 30μm、速度 60m/s の Sn

液滴が 300kHz で安定に連続生成を行なっている。EUV 集光ミラーは Sn プラズマ近傍に設置

され、EUV光を露光装置の照明光学系へ反射集光する。超伝導マグネットを用いて磁場をSn

プラズマの周辺に実現し、Sn プラズマに含まれる高速イオンによる EUV 集光ミラーの損傷を

抑制している。

Page 42: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

42

図 2-4-27 LPP 方式の概念図

Figure 2-4-27 Concept of the LPP system

・EUV 光源の高出力化と長寿命化

Sn 液滴に CO2 レーザが照射されると、Sn は 3 つの状態間、「細かく砕かれた液滴」、「Sn 原

子」、「Sn イオン」を遷移する。CO2 レーザ光を吸収して発生した Sn プラズマの Sn イオンから

EUV 光を発し、その後 Sn はチャンバ内に付着、集光ミラーに付着してその反射率を低下させ

るため、付着量を低減させることが長寿命化につながる。そのため、チャンバ内に付着する前

に Sn を捕捉して排出することが重要な技術になる。EUV 光を増大させ、Sn を効率良く捕捉す

るには、いかに Sn イオンを効率良く生成するかが重要である。効率の良い Sn イオン生成は

EUV 出力、磁場による Sn デブリ緩和の双方に良い効果をもたらす。これまでに効率の良い Sn

のイオン化、Sn のデブリ緩和について様々な報告がされている。[3-5] Sn イオンを効率的に生

成するには CO2 レーザを照射するときの Sn ターゲットの形状がポイントである。CO2 レーザ光

を効率良く吸収する形状を生成するために、CO2 レーザ照射前にプリパルスレーザを照射す

る方式が報告されている。図 2-4-28 に Sn のデブリ緩和の原理を示す。

図 2-4-28 Sn デブリ緩和原理

Figure 2-4-28 Principle of the Sn debris mitigation

これまでに、上述した LPP 方式技術を用いて、104W(発光点実測値からの集光点換算値)

の EUV 光の運転に成功している[6]。さらに Sn の液滴直径を 30μm にすることにより、真空容器

内での Sn 飛散を抑制して出力 42W(同上)レベルで7時間の連続運転を実証している[7]。今

後は製品化へ向けての技術課題の解決に向けた開発が進んでいくと考えられる。

参考文献

[1] 特集 「実用間近のレーザ方式極端紫外線リソグラフィ光源開発」 レーザー研究 36 ,

Pre pulse Laser

CO2 Laser

Liquid SnFragments

Neutral atoms

Ions

EUV Light

Vaporized

Ionized

Pre pulse Laser

CO2 Laser

Liquid SnFragments

Neutral atoms

Ions

EUV Light

Vaporized

Ionized

Page 43: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

43

No.11 (2008) 672-746

[2] Akira Endo et al. “CO2 laser produced Sn plasma as the solution for high-volume

manufacturing EUV lithography” Proc. SPIE 6703,(2007) 670309

[3] Yoshifumi Ueno et al “Characterization of Various Sn Targets with Respect to Debris and

Fast Ion Generation” Proc. SPIE 6517,(2007) [6517-123]

[4] Tsukasa Hori et al “Investigation on high converson efficiency and Tin debris mitigation

for laser produced plasma EUV light souce” 2010 SEMATECH EUVL Symposium, Kobe,

Japan(2010.Oct.17-20) SO-04

[5] Tatsuya Yanagida, “Characterization and optimization of tin particle mitigation and EUV

conversion efficiency in laser –produced plasma EUV light source” Extreme Ultraviolet

(EUV) Lithography II .(2011) [7969-100]

[6] H.Mizoguchi et al. “1st generation laser-produced plasma 100W source system for HVM

EUV lithography” SO-03, Symposium on EUV Lithography II (2011.10.18-20, Kobe,

Japan)

[7] H.Mizoguchi et al. “1st generation laser-produced plasma source system for HVM EUV

lithography” Extreme Ultraviolet (EUV) Lithography II (2011) [7969-07]

(2) DPP 方式光源(LDP 方式光源)

DPP(Discharge Produced Plasma)光源は、現在、トリガー用のレーザーを組み合わせた

LDP(Laser-assisted Discharge Plasma)光源に移行している。そこで LDP 光源について報告す

る。

光源装置は、図 2-4-29 に示すように、主に光源(Source head)部とコレクターを収納するコ

レクターチャンバー(Collector chamber)部から成る。光源部では、放電によりピンチプラズマを

生成し、EUV 光を放射する。その EUV 光の発光源は放電プラズマによって生成された励起

多価スズ(Sn)イオンである。スズを放電ピンチプラズマ領域に供給するため、スズは固体レー

ザーによって気化・電離させている。スズの供給される段階で電極は、高電圧で充電されてい

るため、スズの供給と同時に放電が開始される。そのため、レーザーは、トリガーの役割もし、さ

らに放電プラズマ位置を決めるので、発光点位置が変動することはない。LDP 光源の放電周

波数は、数 kHz から数 10 kHz である。そのため、連続的にスズを供給する必要があり、回転

ディスク(Rotating disc)が採用されている。回転ディスクは、スズを塗布するためスズの浴槽

(Tin bath)に一部漬けられ、放電部へ連続的にスズを供給する。このスズは回転ディスク上に

塗布されて電極として働く他に、電源(Pulse power module)から回転電極に高電圧を印加す

るための導線としての役割を果たしている。さらに、このスズの浴槽は、外部に循環・冷却する

機構を持ち、浴槽内のスズの温度を一定に制御している。そのため、浴槽は高温になったディ

スク表面を冷却する役割も果たしている。また、回転ディスク上のスズによって、放電の際の回

転ディスクの磨耗は抑止できる。

Page 44: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

44

コレクターチャンバー部の主な部品は、光源から中間集光点(IF: Intermediate Focus)に

EUV 光を集光させるコレクターと、コレクター汚染を低減するための DMT (Debris Mitigation

Tool)である。コレクターは、EUV 光源の波長 13.5 nm に高い反射率を有するルテニウム(Ru)

をコーティングした斜入射コレクターを使用している。次に LDP 光源に設置されている DMT

の機能・役割について説明する。EUV 発光源のスズは、レーザー照射と放電により蒸発し、真

空チャンバー内を浮遊する。コレクターまで浮遊した一部のスズは、反射膜上部に堆積する。

また、放電ピンチプラズマ領域でエネルギーを得たスズは、高速で飛散し、コレクターの反射

膜に衝突し膜を削る。どちらの場合も、反射膜はダメージを受けて反射率を低下させる。そこ

で、LDP 光源の DMT は、フォイルトラップを使用している。フォイルトラップ内には、不活性ガ

スが流し込まれており、そのガスにスズを衝突させて、スズ速度の減速と進路変更を行う。進路

が変更されたスズは、フォイルトラップのブレードに衝突し、捕獲される。これにより、コレクター

へのスズの到達を低減している。

22-4-30 に光源の写真を示す。これは、2011 年 Q1 に EUV 用露光装置に搭載された光源

であり、入力エネルギー9 kW、ターゲット IF 出力 6 ~7 W@DC (Duty Cycle) 100%で、ベルギ

ーの研究所で現在稼働している。[1]

図 2-4-29 LDP-EUV 光源の装置概要

Figure2-4-29 LDP-EUV source

Page 45: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

45

2-4-30 ASML NXE3100 に搭載された LDP 光源

Figure 2-4-30 LDP-EUV source connected with ASML NXE3100 exposure machine

LDP 光源の露光用光源としての利点は、

1) Out of Band 光の影響が無いこと

2)光源出力フィードバック動作制御による出力安定性

3)パワースケーラビリティー

が上げられる。図 に EUV 光と DUV 光(Out of Band)の空間分布と表 2-4-1 に In-band 光に

対するアパーチャーを通過できる OoB 光強度比率を示す。ここでは波長選択フィルターを変

えることで EUV 光と DUV 光を分離して観測している。図 2-4-31 に示すように、EUV 光と DUV

光の発光位置は異なる。破線で示した円はエタンデュマッチングにより IF 点でアパーチャを通

過できる領域を示している。EUV 光のみが通過して、露光装置に入射できることがわかる。表

2-4-1 よりアパーチャを通過できる OoB 光強度は、非常に弱く、SPF(Spectrul Purity Fillter)は

不要である[2]。図 24-32 に EUV 出力の安定性を示す。光源は、EUV 出力のフィードバック機

能を設けることによって、Dose stability 0.1%以下を実証した。パワースケーラビリティーに関し

ては、LDP 光源は DPP 光源にレーザーを組み合わせることで、LPP 同様にプラズマ生成への

投入エネルギーと周波数を上げられ実現可能である。

図 2-4-31 プラズマ領域の EUV・DUV 発光分布

Figure2-4-31 Spatial Distribution of DUV emmision and EUV.

Page 46: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

46

表 2-4-1 OoB 光強度比率

Figure2-4-1 OoB Intensity within IF Aperture

図 2-4-32 IF 出力 Dose stability 変動

Figure2-4-32 Dose stability of IF EUV output

・EUV 出力

DMT 改善前後の IF での EUV 出力特性を図 2-4-33 に示す。DMT 改善前を図 2-4-33 の

左グラフに、改善後を図 2-4-3333 の右グラフに示す。改善前、光源の入力エネルギーを上げ

ると、EUV 出力は線形に増加せずに、入力エネルギー増加とともに増加量が減少した。その

原因の追及を行い、DMT のフォイルトラップ(FT)が熱変形し、EUV 光の一部が遮光されてい

ることがわかった。そこで、フォイルトラップの熱変形を抑止する設計が導入された。その結果、

図 2-4-33 の右グラフに示すように光源の入力エネルギーに対して、EUV 出力が線形に増加し

た。そして、2011 年の EUVL シンポジウムで 30 W @DC 100% と 37 W @DC 50%が報告され

ている[2]。

Page 47: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

47

図 2-4-33 IF 出力の入力電力依存性

Figure2-4-33 Source Input Power dependence of EUV Power at IF

・DMT 性能とコレクター寿命

コレクターの劣化は、光源から飛散・浮遊するスズの速度によって異なる。高速のスズは、

コレクター表面の反射膜を削る。そして、低速・浮遊のスズは、反射膜の上に堆積する。どちら

の場合でも、ルテニウム反射膜の性能を低下させるので、EUV 光の IF への集光効率が低下

する。LDP 光源に搭載されている DMT は、フォイルトラップとガスとを併用している。その結果、

スパッタリングが支配的で、その速度は、2 nm/Gpulses である[3]。図 2-4-34 に示すように、ルテ

ニウムの反射率は、反射膜厚に関係なく一定である[2]。したがって、ルテニウム膜厚 1μm を用

いた場合、コレクターの寿命は、1 年以上と見積もられる。

図 2-4-34 反射膜厚依存反射率特性

Figure2-4-34 Collector reflectance as a function of remaining ruthenium thickness

・高出力化への取り組み

量産機には、IF の EUV 出力で 200~250 W が必要とされている[4]。そこに向けて段階的に

出力の検証が行われている。光源部単体での開発において、図 2-4-35 に示すように、入力

エネルギー48 kW@DC 100%で安定した EUV 出力が 40 分間得られている[2]。この時の EUV

Page 48: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

48

出力は、IF で 48 W 相当である。量産機用光源に向けては、入力エネルギーと放電周波数を

上げる必要がある。現在、両方からのアプローチを行っており、放電周波数 40 kHz の検証実

験結果を図 2-4-36 に示す。EUV 出力はパルス抜けがなく、経時的な出力低下も発生しなか

った。また、LDP 光源の入力エネルギー依存 EUV 出力を図 2-4-37 に示す。LDP 光源は、こ

れまでに 100W@IF 相当(DC 20%)、そして 50W@IF 相当(DC 100%)の発光点出力を得て

いる。現在、DC を上げた条件下で長時間運転ができ、LDP 光源の信頼性向上に努めて開発

を行っている。

図 2-4-35 IF50 W 光源実証実験結果

Figure2-4-35 50 W of IF EUV power result

図 2-4-36 40 kHz 動作試験結果

Figure 2-4-36 Operation at 40 kHz of repetition rate

Page 49: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

49

図 2-4-37 入力エネルギー依存 EUV 出力結果の推移

Figure 2-4-37 LDP EUV source performance

参考文献

[1] R. Peeters,“EUV Lithography: NXE3100 is in use at customer sites and building of

NXE:3300B has started”, EUVL Symposium, Miami, Oct. 17, 2011.

[2] M.Corthout et al., ”EUV Light Source-The Path to HVM; scalability in practise”, EUVL

Symposium,Miami, Oct. 17, 2011.

[3] M.Corthout et al., ”First Tin Beta SoCoMo ready for Wafer Exposure”, EUVL Symposium,

Kobe, Oct. 19, 2010.

[4] H-K. Cho, “EUV Readiness and EUV PPT Performance”, EUVL Symposium, Miami,

Oct. 19, 2011.

4-7 マスク用EB描画装置

4-7-1 マスク材料とリソグラフィ技術

hp45nm以降で候補とされているリソ技術は、ArF技術以外では、先に触れたEUV、ナノイン

プリント、ML2とDSAがあるが、ML2以外のArF、EUVとナノインプリントにおいてマスクが必要

なリソ技術である。DSAもリソグラフィの他の技術と併用されるため、マスクが必要とされる可能

性が高い。

ArFにおいては、マスクの透過光によりパターンニングを行うため、利用されるマスク材料は、

合成石英基板(Synthetic silica)がベースであり、石英基板上に透過率を変えるための薄い金

属膜が形成されている場合もある。EUVでは、マスクからの反射光をパターニングに用いるた

め、低熱膨張係数ガラス基板上にEUV反射用多層膜を形成したものが用いられている。ナノ

インプリントでは、マスクそのものを樹脂材に接触させることでパターニングを行うため、Si基板

あるいは石英基板が用いられている。

参考文献

Page 50: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

50

[1] The International Technology Roadmap for Semiconductors: 2011 UPDATE

4-7-2 マスク露光装置における普遍的課題

ITRSのロードマップに基づくハーフピッチ縮小に伴うマスク露光装置において解決を要求さ

れる主たる課題は、微細化を実現するための光源波長の短波長化と微細化によって発生する

①露光時間の増大に伴う生産性の低下の改善、②CDの高精度化、③位置の高精度化であ

る。

微細化を実現するための光源波長の短波長化は、g線(436nm)、i線(365nm)、KrF

(248nm)、ArF(193nm)とITRSのロードマップを実現すべく多大な努力が払われつつタイムリ

ーにリリースされてきた。ArF以降は、EUV(13.5nm)の登場が待ち望まれながらも、量産化への

適用の道のりは未だしの状況であり、これを補完するため、1)純水との併用による液浸ArFに

より解像度の改善、2)ダブルパターニングをはじめとするプロセスの改善、3)コンピュテーショ

ナルリソグラフィの活用による光源とマスクの 適化(SMO: Source and Mask Optimization)に

より微細化を図ることが検討されてきている。この内、マスク露光装置開発方針へ影響を及ぼ

すのは2)と3)である。2)のパターニングの工夫をするプロセスの改善には、マスク精度に歩

留まりが依存するマスクを複数枚使用することを想定するDP/DE法がある。このDP/DE手法に

よっては、2枚以上のマスクが利用されることになるため、位置精度が 終的なCD精度に反映

される結果となる。1枚のマスクであれば、hp45nmで要求4.8nmの位置精度が3.4nmとこれまで

以上に位置精度改善が必要となる状況になり、より一層のマスク露光機の高精度化が望まれ

るようになるという現実に直面する。この場合は、マスク露光装置開発への負荷が大きくなるこ

とが危惧されている。また、3)では、これまでの比較的単純な変形照明ではなく、想定するパ

ターンの出来上がりから逆に照明形状とマスクパターンを計算機でシミュレーションすることで

微細化、プロセスウインドの拡張を実現しようとするものであり、スーパーコンピュータなどを利

用して実現している例がある。これでは、マスク上のパターンは、OPC以上に基本パターンに

補助パターンの付加および削除が行われるため、マスク露光による実現では、露光にかなりの

時間がかかることが想定され、装置に露光の高速化が求められるようになると考えられる。

生産性の低下は、マスクコストとして跳ね返るため、露光時間を短くすることが要求される。

露光時間が長くなる理由は、単に微細化に伴うデータ量の増大だけではなく、CD均一性を良

くするための光学的近接効果補正(OPC:Optical Proximity Correction)用補助パターンの付

加が必須となっていることによる。この付加パターンの増加と形状が複雑化することにより、露

光すべきデータ量が増加している現状がある。この対策として、これまでも、ASET(技術研究

組合 超先端電子技術開発機構)の国家プロジェクトを利用するなどしてデータ転送・演算速

度の高速化やステージなどの改善が図られてきているが、 近では、高電流密度化も図られ

るようになってきており、200A/cm2の装置が開発されている。

CDの高精度化のためには、ビームの安定化、温度、磁場、振動などの装置環境の制御技

術、電子線における近接効果補正(PEC:Proximity Effect Correction)、フォギング効果など

Page 51: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

51

の補正技術等の検討が進められている。位置の高精度化についても、CD寸法制御と同様に

ビームの安定化、装置の環境制御技術、マスクの保持技術などが重要であるが、 近では、

レジスト(概ね絶縁膜)に残留する電子によって後続の電子が振られるチャージアップ現象に

よって、位置精度の悪化が発生するとの報告もあり、ナノメータレベルでの改善のためチャー

ジアップ防止剤などによる対策・改善が必要となってきている。

2010年には、hp45nmでは、193nm(ArF)液浸と超解像技術(RET:Resolution

Enhancement Technology)によって、ITRSのRed Bricksの多くが解決され、量産が開始される

に至っている。しかし、2012年量産開始のhp32nmでは、これらの技術のみでは、焦点深度

(DOF:Depth of Focus)、パターン解像度が十分でないため、EUV(Extreme Ultra Violet)の

登場が期待されてはいるが、現状では、これまで培われてきた193nm(ArF)でのリソ技術を基

に、DE/DP(Double Exposure/Double Patterning)と称されるマスクを2枚あるいはそれ以上

の枚数を使用するプロセスによって実現する方法が提案されている。

さて、先に触れたように、これまでもASETなどを利用して、精度やスループットの改善が図ら

れてきたが、一旦2001年に終結していた。しかし、ASETにおいて、2003年6月から2005年6月

までの研究会と2005年度の先導研究後、2006年5月から2010年3月までの予定で「マスク設

計・描画・検査総合 適化技術」(MaskD2I:Mask Design, Drawing & Inspection Technology)

に関する国家プロジェクトが発足し、NEDO事業として12社が参加している。大きくテーマは、

「マスク設計データ処理技術」、「マスク描画装置技術」、「マスク検査装置技術」の3つに分け

られている。「マスク描画装置技術」としては、別項でも触れているが、マルチカラム、高輝度電

子銃およびCPマスク(Character Projection Mask)の採用による高速化、さらに露光時に内部

および外部環境により発生する問題の露光への影響をモニターし、その結果を検査にフィー

ドフォワードすることで、検査の高速を図ることも検討されている。また、「マスク設計データ処

理技術」としては、繰り返しパターンの抽出(CPマスクへのフィードバック)と設計インテントに基

づくパターンの重要度情報を描画時や検査時に提供し、描画と検査の高速化を図ることが検

討されている。「マスク検査装置技術」については、先に述べた設計情報と露光情報に基づい

て効率的な検査を行って高速化を図るための技術開発が行われている。そして、これらの技

術を統合化することで、マスクコスト増大を未然に防ぎ、hp45nm世代のマスク設計・描画・検査

に要する時間がhp65nm世代に比べて半分以下に低減される可能性を示し終了した。

光によるリソグラフィが、当初想定したよりもはるかに微細なパターン加工を行う必要が生じ

たため、OPC (Optical Proximity Correction)や Computational Lithography, DFM (Design

For Manufacturability)など様々な技術を駆使する必要が生じた。このためレチクルを作成する

ために必要なデータ量が増大し、描画時間の増大が懸念されるようになってきた。なお

Computational Lithography, DFM (Design For Manufacturability)については、別途章を設け

て、詳細に解説する。

別の章でも詳細に説明するが、上記ASETのマスク描画技術開発において、4カラムのマル

チカラムを用いてマスク描画時間短縮の可能性を示したのは、一つの成果と思われる。

Page 52: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

52

海外でもSematechが中心になり、マルチビームやマルチカラムによる描画装置の描画時間

を短縮するプロジェクトが検討され、開発が実行フェイズに入りつつある。

参考文献

[1] 西村 理恵子、「電子ビームマスク描画装置 EBM-7000 」、荷電粒子ビーム工業への

応用第132委員会 第187回研究会資料(H21.10.23) p32-37

[2] 技術研究組合 超先端電子技術開発機構(ASET)マスクD2I技術研究部第3回成果報

告会資 料 (2009年4月6日日本科学未来館みらいCANホール)

[3] 2007年度半導体製造装置技術ロードマップ報告書

[4] Linyong Pang et al.,"Source Mask Optimization ata full chip Source using Inverse

Lithography Technology (ILT) based on Level Set Methods", on 2009 Lithography

workshop (Coeur D'Alene, IDAHO, 2009)

[5] Lloyd Litt et al., “ Sematech Maskless Lithography and Multibeam Mask Writer

Workshop” on Lithography Forum 2010 ( New York , 2010)

4-7-3 個々のリソグラフィ技術に対する課題

ここでは、今後hp32nm以降で候補として挙げられるEUVやナノインプリント用マスク作製時

に発生する課題につい触れる。

ナノインプリントでは、ArFやEUVと異なり、等倍(x1)マスクを用いるため、位置精度につい

ては、ArFの精度のx1/4で作製することが要求され、装置への精度要求が厳しい。また、EUV、

ナノインプリントともにマスクパターンの三次元形状がウエハ上のパターンへ影響を及ぼすた

め、マスク作製時のレジスト形状への要求がArFマスク作製時以上に厳しくなることが予想され

る。さらに、EUVでは、露光波長がArFに比べて短い(13.5nm)こと、ナノインプリントでは、等倍

マスクであるために、許容される欠陥サイズへの要求がArF以上に厳しい。ただ、ナノインプリ

ントでは、OPCなどのアシストパターンの作製が必要でないため、ArFに比べてデータ容量は

さほど大きなものにはならない。EUVでは、入射光がマスクに対して垂直に入射しないために

発生するマスクパターンによってシャドーイングが発生するパターン変形や、フレアの影響を

補正するため、予めマスクパターンにOPCを施す必要があることが知られており、ArFほどでは

ないがかなりなデータ容量の取り扱いが要求される。また、EUV、ナノインプリントともにペリク

ルが使えないため、これまで以上にデフェクトの原因となるパーティクル発生を抑制する必要

がある。

参考文献

[1] K. Ronse et al., “EUV lithography status at IMEC”, on 2007 Lithography

Workshop(Puerto Rico, 2007)

Page 53: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

53

[2] The International Technology Roadmap for Semiconductors: 2009 UPDATE

[3] L. Melvin et al., “Using Optical Proximity Correction Techniques to Compensate for

Flare in Extreme Ultra Violet Lithography” 6C-3 on 33rd International Conference on

Micro- and Nano-Engineering 2007(Copenhargen, 2007)

4-8 フォトマスク修正装置

現在、フォトマスク修正装置としては、レーザー方式、イオンビーム方式、電子ビーム方式、

ナノマシニング方式が使用されている。各々、加工位置精度や加工速度などの特性が

異なる為、マスクの種類および欠陥の種類に応じて使い分けられている。よって、数種

類の装置が並列に使用されるケースが一般的で、その組み合わせはマスクショップに

よって異なる。

レーザー方式は、 も古くから使用されている修正技術である。加工位置精度は 70nm(3

σ)程度と も悪いが、加工速度は も速い[1][2]。 よって、主に、ローエンドマスクや LCD 用

マスクにおける大サイズ欠陥の修正に使用されている。また、黒欠陥には有効であるが、孤立

した白欠陥の修正が弱点である。近年、フェムト秒パルスレーザーの採用により高分解能化、

低ダメージ化した装置が発表された [3]。

ガリウムイオンを利用したイオンビーム(FIB:Focused Ion Beam)方式は、レーザー方式より

高精度であり、白欠陥にも対応する為、現在主流の修正技術のひとつである。修正領域にガ

リウムイオン注入によるダメージがあるが、マスク洗浄により、波長 193nm の透過率は 98%以上

に回復するので、実用上問題とならない。加速電圧を下げた装置では、さらにダメージが低下

し、複数回の加工が可能となっている[4][5]。 大の特徴は、1 台の装置で、高精度&低速度か

ら低精度&高速度まで幅広い領域をカバーできる点である。商用装置は、3~4nm(3σ)の修正

位置精度で、hp45nm程度まで使用されている。実験装置は、hp25nmのEUVLマスクを修正し、

転写に成功した例が報告されている[6][7]。 また、スパッタリング効果を使用している為、ガラス

加工の形状再現性が高く、レベンソンマスクやナノインプリントのテンプレートの修正に有利で

ある。

電子ビーム(EB)方式は、FIB 方式に近い特性の修正技術である。両者の相違点として、EB

方式は化学反応を主に用いるが、FIB方式は化学反応と物理的スパッタリング効果を利用して

いる。EB 方式の修正精度は 2~3nm(3σ)程度で、FIB 方式と大きな差はない。しかし、照射に

よるダメージが少ない為、加工回数に制限が無い点と、観察分解能が高い為、修正位置を特

定し易い点が、FIB 方式に勝る[8][9]。 よって、hp45nm 以降のマスク修正において、主流になり

つつある。また、EUVL マスクやナノインプリントテンプレートへの適用例も発表されている[10][11]。

近、バイナリーマスクもハーフトーン型位相シフトマスクも新たな材質のマスクが提案され

ているが、これらに対応するための新たな化学効果を持つ修正プロセスの開発が必要となっ

Page 54: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

54

てきている。

ナノマシニング方式は、AFM(Atomic Force Microscope)を利用した技術で、装置自身で

加工後の 3 次元形状を確認できるという特徴を持つ[12][13][14]。 失敗が許されない高価なマスク

を、加工と確認を繰り返しながら、確実に修正できる為、急速に普及した。但し、原理上、白欠

陥には全く対応できず、加工時間も長く、OPC などの複雑な形状への対応が極めて困難であ

る。また、加工針のサイズの面で、微細パターンへの適用が困難になってきた。よって、近年、

確実に修正するというナノマシニング方式の役割が、後発のEB方式に置き換わりつつある。し

かし、他の方式とは異なり、機械的な加工である為、材質が不明な欠陥や異物を除去できる。

近年、Haze 問題で洗浄が弱くなった為、マスク表面に付着したダストが除去できないケースが

増えている。これらのダストの除去に、ナノマシニング方式が用いられている。

上記 4 種の現行方式の組み合わせで、hp30nm 程度までのマスク修正に対応可能と考えら

れるが、hp20nm 以降の世代には対応困難だと考えられる。同世代に対応するリソグラフィ技術

として、EUVL とナノインプリントが提案されているが、4 倍 EUVL マスクおいて 80nm 以下、等

倍テンプレートに至っては 20nm 以下のライン&スペースを修正する必要がある。現行方式を

少々改善すれば、観察分解能や加工位置精度の点は OK だが、 小加工寸法の点で対応

不能である。現在、 も高分解能と言われるEB方式においても、原理上、 小加工寸法は20

~30nm が限界であり、非実用的と言わざるを得ない。

近、 小加工寸法を大幅に改善するブレークスルー技術として、新しいイオンビーム技

術を利用したマスク修正技術が提案されている。この技術は、電界電離型ガスイオン源

(GFIS:Gas Field Ion Source)を搭載した FIB 技術で、従来のガリウムイオンに比べて、マスク

に与えるダメージの少ない軽イオン(水素イオンなど)を用い、より微細な加工ができると期待さ

れている。実際に EUVL マスクの修正に応用された例が発表されている[15]。

参考文献

[1] T. Togawa,, et al., Proc. SPIE, Vol. 4830, 346 (2003)

[2] T. Okamoto, et al., Proc. SPIE, Vol. 4830, 510 (2003)

[3] Firoz Ghadiali, et al., Proc. SPIE, Vol. 6283, 628329 (2006)

[4] F. Aramaki, et al., Proc. SPIE, Vol. 6283, 628310 (2006)

[5] F. Aramaki, et al., Proc. SPIE, Vol. 6349, 63491E (2006)

[6] T. Amano, et al., Proc. SPIE, Vol. 7122, 71222H (2008)

[7] T. Amano, et al., Proc. SPIE, Vol. 7379, 73792L (2009)

[8] V. Boegli, et al., Proc. SPIE, Vol. 4889, 283 (2002)

[9] A. Garetto, et al., Proc. SPIE, Vol. 7488, 74880H (2009)

[10] S. Kanamitsu, et al., Proc. SPIE, Vol. 7823, 782322 (2010)

[11] M. Pritscow, et al., Proc. SPIE, Vol. 7122, 71222L (2008)

[12] R. White, et al., Proc. SPIE, Vol. 4562, 213 (2002)

Page 55: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

55

[13] T. Robinson, et al., Proc. SPIE, Vol. 7488, 74880F (2009)

[14] M. Dellagiovanna, et al., Proc. SPIE, Vol. 6730, 673020 (2007)

[15] F. Aramaki, et al., Proc. SPIE, Vol. 7969, 79691C (2011)

4-14 レジスト技術動向

4-14-1 開発動向と課題

半導体集積回路の高密度化、高性能化のためには、パターンの微細化が欠かせない。解像

度の向上には露光波長の短波長化および投影レンズの高 NA 化が有効である。実際、

436nm(g 線)から始まった縮小投影露光の波長は、365nm(i 線)、248nm(KrF)を経て、現在で

は 193nm(ArF)と半分以下の波長が使用されるに至っているおり、それぞれの波長を用いる露

光装置の NA の向上が微細化の推進力となってきた。そして、さらなる微細化のために、

193nm では液浸露光技術が実用化され、ArF 液浸による二重露光技術、13.5nm(EUV)の波

長を用いる EUV 露光、EB にて直接加工する EB 直描技術(ML2)、Template によるナノインプ

リント(IMP)、指向された自己組織化技術(DSA)などが次世代のリソグラフィに検討されてい[1]

このようなリソグラフィ技術の変化伴い、レジスト材料も変遷を遂げている。代表的な例として

は、露光波長の変化に対し高い透明性が得られる樹脂を用いた材料開発があげられる。露光

波長に対するレジスト膜の透過率はレジスト組成物の化学構造によって決まることから、リソグ

ラフィ特性を確保しつつ、高透明性を維持できる材料が選択されてきた。具体的には、g 線、i

線にはノボラック樹脂が、KrF にはポリヒドロキシスチレンが、そして、ArF にはアクリル系ポリマ

ーがレジスト用基材として適用されてきた。EUV では、吸収は基材の元素そのものに依存する

ため、従来レジストと比較し、自由な化学構造が適用可能であるとされている。実際には従来

レジストに利用されてきたポリヒドロキシスチレン、アクリル系ポリマーを主たる基材として、EU

Vに特化した技術開発が進められている。

液浸露光というプロセスに対しては、補助材料の開発とともに、レジストそのものの組成を改

良することにより、レジスト膜表面の撥水性、レジスト組成物の水への溶出制御を可能としてき

た。更に確立された液浸露光技術を出来るだけ延命させるようなプロセス・材料などの検討も

行われている。

現在は露光波長の短波長化、投影レンズの高 NA化だけではなく、さまざまな手法を駆使し

て微細加工を推し進めていくという状況となっている。レジスト材料についてもそのプロセスに

あった開発を行う必要がある。以下にそれぞれの開発状況を述べる。

参考文献

[1] International Technology Roadmap for Semiconductor 2011 edition

Page 56: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

56

4-14-2 液浸露光対応レジスト

ArF 液浸露光プロセスは、ここ数年の加速的なプロセス・ツール・材料開発が奏効して、既

に量産に適用されている。そのため現在は量産プロセスへ適用した場合の長期プロセス安定

性などが報告されている。[1,2]特に開発当初の材料の問題点として取り上げられていた液浸起

因欠陥は、レジストへの水の影響を遮断する Topcoat 材料の開発や液浸専用プロセスなどの

適用により量産適用可能なレベルまで抑えられるようになっている。

初期の液浸露光プロセスは Topcoat 材料の使用が報告されているが、プロセスの簡便性向

上や材料コスト低減を目的に Topcoat less レジストの開発が進められている。Topcoat less レジ

ストの開発には、レジスト膜の表面自由エネルギーを下げて露光ステージスキャン時の水滴残

り防止や、レジストに使用されている酸発生剤などの低核体成分が水へ溶出してしまうことを防

止する必要がある。前者の表面自由エネルギーのコントロールにはフッ素を含むポリマーをレ

ジストに添加して、レジスト膜表面付近に偏在化させる手法が報告されている。[3,4]後者の酸発

生剤の溶出防止には、液浸露光専用に開発された酸発生剤などが使用されている。これらの

技術を組み合わせて開発された Topcoat less レジストにおいて 40nm Line/80nm Pitch の解像

が確認され、且つ Topcoat を使用した時よりも低いレベルの欠陥数が報告されている。[4]

図 2-4-38 Non Topcoat レジストによる 40nm/80nm Pitch の解像例

Figure2-4-38 Imaging example of 40nm/80nm Pitch by Non Topcoat resist

更に ArF 液浸露光にて解像性を向上させる技術として様々なプロセスが提案されている。繰

り返してパターニングを行うダブルパターン技術、パターンを芯材としてサイドウォールを形成

するサイドウォール技術、複数回パターニングを行うマルチパターニング技術などが検討され

ている。

以下にダブルパターニング技術の詳細について記述する。ダブルパターニング技術の種類

として

①レジストパターニング、エッチングを繰り返す方法。

②レジストパターニング後、簡単なプロセスを経て再度レジスト塗布、パターニングを行い

エッチング。

③レジスト塗布、2 回の露光を実施、その後、現像、エッチング。

Page 57: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

57

④レジスト塗布、1 回の露光でピッチ 2 分割、その後、現像、エッチング

アルカリ現像液によるポジ型レジストを用いる場合は、上記の案の中でも工程数やコストを

考慮し、②のレジストパターン形成を 2 回繰り返した後にエッチングプロセスに移る、いわゆる

LFLE(Litho-Freeze-Litho-Etch)プロセスが望まれている。レジストを一度パターニングした後

に、再度レジストを塗布、パターニングを行うことから、2 層目のレジスト塗布、露光および現像

時に 1 層目のレジストパターンにダメージを与えないことが必要である。中間に行うプロセスを

可能な限り簡便なものにしたく、二重露光プロセスの検討初期には、1 層目のパターン形成後

にフリージング剤を塗布し、1 層目のパターンを保護した後、2 層目の塗布、パターニングを行

うというフリージングプロセスが提案された。[6,7,8]その後、フリージング剤も不要となるようにレジ

スト設計が改良され、1 層目のパターニング後ハードベークをおこなうのみで、2 層目の塗布、

現像プロセスで 1 層目のパターンにダメージがないという材料、プロセス(フリージングフリープ

ロセス)が提案されており NA1.35 を使用して 32nmhp の解像結果が報告されている。[9]

図 2-4-39 フリージングフリーダブルパターニングプロセスの 32nmhp の解像例 (NA 1.35)

Figure2-4-39 Imaging example of 32nmhp by freezing free

double patterning process (NA=1.35)

前記①→②→③と④の順でレジスト開発困難度が上昇する、特に③の 2 重露光プロセスで

は本来のレジストとは違った光応答性が求められる事になる。通常のレジストではターゲットハ

ーフピッチを分割して 2 回に分けて露光だけを行うと、レジスト膜中の光強度は 1 回目と 2 回

目の干渉により一定となりパターニングは行う事は出来ない。そこで対策としてレジストの光応

答性を非線形とする材料などが提案されている。[10]

更に④の 1 回の露光でピッチ 2 分割においては、光強度の強弱を利用して光発生材と光塩

基発生材の組み合わせを利用した材料が提案されている。90nmhp の光学像で 45nmhp のパ

ターニングを行った結果が報告されている。[11]

Page 58: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

58

Aerial Image

Mask

E0

PResistProfile

En

N

Aerial Image

Mask

E0

PResistProfile

EnEn

NN

図 2-4-40 ピッチ分割コンセプト図および

90nmhp aerial 45nmhp pattern 解像例

Figure2-4-40 Concept of pitch split and example of imaging

90nmhp aerial 45nmhp pattern

また一方でアルカリ現像におけるポジ型レジストを用い、有機溶剤で現像することによりネガ

像を得るという手法も提案されている。[12,13] ポジ型レジストではダークレチクルを使用するため

光学強度が弱く形成の難しいトレンチパターンやホールパターンなどの形成において、ブライ

トレチクルを使用できるネガ型レジストの場合は光学強度が増加するため解像性が優位とな

る。

参考文献

[1] A. Hazelton, et.al., 4th International Symposium on Immersion Lithography (2007)

[2] M. Kono, et.al., 4th International Symposium on Immersion Lithography (2007)

[3] M. Irie, et. al., J. Photopolymer Science Technology Vol. 19 (2006) No.4 pp565-568

[4] T. Hagiwara et. al., J. Photopolymer Science Technology Vol.19 (2008) No.5

pp647-654

[4] K. Goto, et. al., 4th International Symposium on Immersion Lithography (2007)

[5] T. Furukawa, et. al., 4th International Symposium on Immersion Lithography (2007)

[6] M. Hori et. al., Proc. SPIE, 6923, (2008) 69230H

[7] D. K. Lee et. al., J. Photopolymer Science Technology, Vol.22 (2009) No.5 pp653-661

[8] T. Kakizawa et. al., J. Photopolymer Science Technology, Vol.22 (2009) No.5 pp641-646

[9] T. Nakamura et. al., J. Photopolymer Science Technology, Vol.21 (2009) No.5

pp647-652

[10] R. Bristol, et. al., Proceedings of SPIE vol. 7639, 763905/1-763905/12(2010)

[11] Y. Cho, et. al., Proceedings of SPIE vol. 7972, 797221/1-797221/8 (2011)

[12] S. Tarutani, et. al., J. Photopolymer Science Technology, Vol.21 (2008) No.5 pp685-690

[13] S. Tarutani, et. al., Proceedings of SPIE vol. 7639, 763904/1-763904/8 (2010)

45 nm half pitch

NA = 0.5490 nm hp aerial image

k1 = 0.126

45 nm half pitch45 nm half pitch45 nm half pitch

NA = 0.5490 nm hp aerial image

k1 = 0.126

Page 59: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

59

4-14-3 EUV リソグラフィ用レジスト

露光源の短波長化において、EUV(Extreme Ultra Violet)光を利用したリソグラフィの開発が

加速され、導入が現実的なものと成りつつある。[1,2,3,4]

EUV リソグラフィでは、現状の照射光波長が ArF の 193nm から 13.5nm と 1/10 以下になる

ため飛躍的な高解像度実現が期待される。EUV リソグラフィプロセスの開発当初はレジストの

完成度が大きな課題とされたが、 新のレジストでは EUV 干渉露光機や EUV プロトタイプ露

光機において 22nm 程度のライン&スペースパターン解像が報告されている。EUV リソグラフ

ィの適応が見込まれる世代はhp22nm以降であり、解像性についてはマッチしているといえる。

パターンの倒れ防止のためのアスペクト比を考慮すると、使用される膜厚は 50nm 程度になる

と考えられる。

解像性以外の要求特性には先ず高感度化が挙げられる。光源の高性能化は期待できるも

のの、CoO(コスト・オブ・オーナーシップ)低減を目標とした高スループット実現の観点からは、

10-15 mJ/cm2 という高感度レジスト開発要望が依然として強い。従来の光リソグラフィにおける

化学増幅型レジスト要求感度は 20~30mJ/cm2であり、EUV レジストへの要求感度が露光量の

観点からも明らかに高いことがわかる。従来型レジストの感光領域が分子吸収を議論している

の対して、EUV レジストの感光領域が基本的にエネルギー準位の異なる原子吸収である点を

考慮すると、自由な化学構造が選択可能であるが、EUV露光における酸発生過程を勘案し

た材料設計が必要であるとされている。高感度化に対しては、意図的にEUV光吸収を増大さ

せるためフッ素原子の導入や[5]、化学増幅反応を司る酸触媒の酸発生過程の量子収率を向

上させて感度向上を行うプロトンソースの導入などが提案されている。[6, 7]

次の課題としてはライン幅の揺らぎ(Line Width Roughness: LWR)の低減である。ITRS

Roadmap によると、hp22nm 世代に要求される LWR は 2nm 以下である。従来の化学増幅型レ

ジストは、露光後ベーク時の酸拡散を利用して増感作用を出現させているため、塗布膜中の

PAG の分散度、露光により発生した酸の拡散長のばらつきをより厳しく制御する必要がある。

また一般的な化学増幅型レジストのベースポリマー等による平均粒塊は数十 nm 程度とされる

ことから、従来のポリマー系では目標 2nm の達成を疑問視する意見もあり、粒塊径を小さくす

るため分子量 1000~2000 程度とした低分子ガラスタイプレジストが提案されている。[8]

更に EUV リソグラフィでは従来の ArF、KrF リソグラフィに比べ光学フレア量が格段に大きく

なることが報告されている。ArF、KrF リソグラフィでは1%以下の光学フレア量であったのに対

し EUV リソグラフィでは 10%前後になると予想されている。レジスト材料面からの光学フレア対

策は未だ十分に議論されておらず、今後の課題となりうる。[9,10]

EUV レジストとしてはこれらの課題すべてを満足させる必要があるが、特に解像力

(Resolution)、感度(Sensitivity)、LWR は相反関係(RLS トレードオフ)にあることが知られてお

り、EUV レジスト開発の大きな課題となっている。これらの問題を解決するために、近年、樹脂

に含まれるひとつのユニットとして酸発生剤を組み込んでしまうという手法が報告されており

Page 60: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

60

(PAG bound polymer)、このトレードオフを改善した結果が報告されている。[11,12,13, 14]

更に EUV 光の高吸収化を目的とした材料の研究も昨今では行われるようになってきている。

EUV 光は 13.5nm と短波長であるため、EUV フォトンは 92.5eV という高いエネルギー持って

いる。そのためレジストに使用されている有機物の炭素-炭素結合(C-C bond)や炭素-酸素結

合(C-O bond)を励起するためのエネルギー準位より高くレジスト膜中を透過してしまうため、入

射した光を有効活用できず高感度化しにくいという問題もある。EUV 光の高吸収化を目的とし

てもともとエネルギー準位の高い元素を使用してレジスト基材とする無機物レジストや有機-無

機ハイブリッドレジストなどが提案されている。[15]

参考文献

[1] T. Miura et al., 2009 EUV symposium, ET-02, Prague, the Czech Republic.

[2] S. Lok et al., 2009 EUV symposium ET-04, Prague, the Czech Republic.

[3] K. Tawarayama et al., 2009 EUV symposium ET-05, Prague, the Czech Republic.

[4] T.Itani et al., J. Photopolymer Science Technology, 22, 1 (2008) 59.

[5] H. Yamamoto et al., Appl. Phys. Express, 1 (2008) 047001.

[6] H. Yamamoto et al., Jpn. J. Appl. Phys., 43 (2004) 3971.

[7] H. Yamamoto et al., Jpn. J. Appl. Phys. 46 (2007) L142.

[8] T. Hirayama et al., Jpn. J. Appl. Phys. 44 (2005) 5484.

[9] A. M. Goethals et al., J. photopolym. Sci. Technol., 19, 4 (2006) 501.

[10] A. M. Goethals et al., J. photopolym. Sci. Technol., 20 ,3 (2007) 383.

[11] M. Thiyagarejan et al., J. photopolym. Sci. Technol., 18, 6 (2005) 737.

[12] M.Wang et al., J. photopolym. Sci. Technol., 20, 6 (2007) 793.

[13] S. Tarutani 2009 EUV symposium R1-01, Prague, the Czech Republic.

[14] J. W. Thackeray et al., 2009 EUV symposium R1-02, Prague, the Czech Republic.

[15] P. Naulleau, et. Al., Proc. SPIE, 7985 (2011) 798509.

4-14-4 EB 用レジスト

EB 用レジストは縮小投影露光装置用のマスク(レチクル)製造用、ウエハー直描の高加速

電圧用、同じくウエハー直描の低加速電圧用、ナノインプリントのモールド(テンプレート)作成

用が主用途となる。

EB 用レジストに求められる解像度はほぼ EUV レジストと同程度であり、EUV レジストの項

で述べた解像度、感度、LWR の相反関係についても EUV レジストと同じと考えられる。また、

像形成のメカニズムも EUV に非常に近く、プラットフォームとなる組成は EUV からの転用が可

能と考えられる。[1]

ウエハー直描用途の低加速EB露光装置は、EUV露光装置と対抗するため、量産性工場

Page 61: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

61

を目的にマルチカラムシステムを搭載すると見込まれている。ビーム加速電圧が低いため高感

度となるが、高加速電圧用に設計されたレジストでは高感度過ぎる可能性が高く、低加速電圧

露光装置用の感度調整が必須であろう。また、低加速によりビーム直進性が悪くなるため、用

いるレジストは 50nm程度の薄膜となり、レジスト下層にエッチングを補助するためのハードマス

クが用いられる。低加速 EB 露光装置についてはまだ露光実験環境が十分に整っておらず、

今後の材料開発動向に注目したい。

参考文献

[1] Y. Fukushima et. al., J. Photopolymer Science Technology, Vol.21 (2008) No.4 pp465-468

4-14-5 ナノインプリント用レジスト

インプリントリソグラフィは 1995 年に現プリンストン大学の Stephen Y. Chou 教授が提案したも

のである。[1,2]現在の半導体製造においては、光を用いた縮小投影技術によるパターン形成

(配線形成)を行い量産が行われているが、微細加工精度の向上とともにその製造装置価格

は急騰しており、多額な設備投資が必要となっている。Chou 教授の提案したインプリントリソグ

ラフィ技術は現状に比較するとかなり安価にナノメートルオーダーの加工が可能であり、次世

代半導体製造技術への適用が期待されている。2003 年の ITRS ロードマップより 32nm 以下の

リソグラフィ技術候補のひとつとして掲載され始めた。 新の 2011 年版においても

DRAM/MPU のプロセス候補の一つとして 22nm 以降に記載されている。現状、半導体量産に

インプリント技術を用いた報告例は無いが、微細加工技術候補のひとつとして試作品への適

用について検討が行われている。

インプリントリソグラフィとしては、熱サイクルインプリント方式、室温インプリント、光インプリン

ト方式が提案されており、それぞれの方式にレジスト材料が提案されている

熱サイクルインプリントは、熱を加えることにより液状になるという熱可塑性樹脂の性質を利

用した技術である。[1,2]基板にレジスト材料となる熱可塑性樹脂を塗布し、ガラス転移点以上に

熱を加え、樹脂が液状になったところにモールドを圧着、その後冷却しレジスト材が固化した

後にモールドをはずし、パターン転写を行う。レジスト材料としては、熱可塑性樹脂の中でも、

非結晶性のものが使用しやすい。熱サイクルインプリント用のレジストとして代表的なものは、

ポリメタクリル酸メチル(Polymethyl metacrylate :PMMA)であり、多くの実験に用いられてい

る。

PMMA はポジ型電子ビーム用レジストとしても市販されているものが転用可能である。

また、室温インプリントの研究も行われており、Si 含有材料である HSQ(ヒドロキシシルセス

キオキサン)ベースの SOG(Spin on Glass)でのインプリント研究が報告されている。[3,4,5,6,7]

光インプリントは、光を照射することにより硬化する光硬化樹脂を用いた技術である。[8,9,10]基

板に光硬化樹脂を塗布し、モールドを圧着した後、モールドを透過する形で紫外光(波長

400nm 以下の光)を照射、樹脂が硬化した後にモールドをリリースする。レジストとして用いら

Page 62: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

62

れる光硬化樹脂は、ベースとなる樹脂と光重合開始剤からなる混合物が用いられる。材料とし

ては、光リソグラフィに用いられるネガレジストに近いものとなる。光インプリントはモールドとレ

ジストのプレス圧が熱サイクルインプリント方式の1/50~1/100程度で済むソフトインパクトとなる

こともあり、重ね合わせ精度に優れるとされている。[11]

参考文献

[1] S. Y. Chou et. al., Appl. Phys. Lett., 67, 3114 (1995)

[2] S. Y. Chou et. al., J. Vac. Sci. Technol., B15, 2897 (1997)

[3] S. Matsui et. al., J. Vac. Sci. Technol., B19, 2801 (2001)

[4] Y. Igaku et. al., Jpn. J. Appl. Phys., 41, 4198 (2002)

[5] S. Matsui et. al., J. Vac. Sci. Technol., B21, 688 (2003)

[6] K. Nakamatsu et. al., Jpn. J. Appl. Phys., 43, 4050 (2004)

[7] K. Nakamatsu et. al., Jpn. J. Appl. Phys., 45, L546 (2005)

[8] J. Haisma et. al., J. Vac. Sci. Technol., B14, 4124 (1996)

[9] T. Bailey et. al., J. Vac. Sci. Technol., B18, 3752 (2000)

[10] C. G. Willson J. Photopolymer Science Technology, Vol.22 (2009) No.2 pp147-153

[11] M. D. Austin et. al., Appl. Phys. Lett., 84, 5299 (2004)

4-14-5 自己組織化材料

自己組織化材料はナノインプリントとともに 20nm 以下のパターン形成用材料として注目され

ている。通常の露光装置やインプリントと異なり、特別な装置を必要とせず材料そのもののミク

ロ相分離を利用することによりパターン形成を行うもので、従来のパターン形成とはまったく異

なるものとなるものであり、今後の材料開発、アプリケーションが注目されている。

自己組織化材料としては親水性および疎水性ポリマーユニットからなるジブロックポリマーが

標準的に使用されている。分子内に互いに相反する A ブロック、B ブロックを持つ A-B 型ジブ

ッロクポリマーは、それ自身でブロック同士が集まり、共重合体中のどちらかの一方の体積分

率と、χパラメータ(Flory interaction parameter)と重合度 NAB の積によってスフィア(Sphere)、シ

リンダー(Cylinder)、ラメラ(Lamellar)相などの自己組織体形成(self-assembly)またはミクロ相

分離(microphase separation)を起こす。ミクロ相分離を起こすための条件としてχNAB>10.5 で

ある事が報告されている。[1] A-B 型ジブロックポリマーの A ブロック体積分率 fA を横軸、χと

重合度 NAB の積を縦軸に取ると理論的に以下に示す相図が描ける。[2]

Page 63: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

63

図 2-4-41 ジブロック型ポリマー相図と形成されるミクロ相分離形状

Figure2-4-41 Phase diagram of di-block copolymer

and structures of microphase separation

A-B 型ジブロックポリマーの代表的なものとしては、ポリスチレンとポリメタクリル酸メチルのジ

ブロックポリマーがある。親水性の部分と疎水性の部分の体積分率およびおのおのの鎖長を

変更することにより、形成できるパターンが変わり垂直シリンダー(ホールやドットに相当)や垂

直ラメラ(ライン&スペースに相当)を形成することが可能であり、形成できるパターンサイズも

変更できる。プロセスとしては塗布前の基板処理と塗布した後のベークのみとなる。

大きな問題は、所望の部分にいかにパターンを形成するかということになる。垂直ラメラ構造

を形成しようとした場合、自己組織化材料を事前に処理をおこなった基板に塗布しベークする

と垂直ラメラは形成されるが、その方向はランダムであり、指紋のようなパターンができてしまう。

そこで所望の部分にパターン形成を行うために、ガイドパターンを用いることが提案されている。[3, 4, 5]通常の縮小投影露光装置によりレジストパターンを形成、その後自己組織化材料を塗布、

ベークするとガイドパターンの間に微細なライン&スペースパターンが形成可能となる。たとえ

ば前記したポリスチレン-ポリメタクリル酸メチルのジブロックポリマーであれば、垂直ラメラ形

成後、酸素プラズマでメタクリル酸のみをエッチング(ドライ現像に相当)する、もしくは紫外線

露光を行い、メタクリル酸部分を解重合し、酢酸エチルにて現像することにより、ライン&スペ

ースパターンを形成できる。

また昨今では、ArF や KrF 露光されたパターンと組み合わせて微細パターンを形成するシ

ュリンク材料として適用されるケースが報告されている。[6]

参考文献

[1] Leibler, L. Macromolecules 1980, 13, 1602

[2] M. W. Masten, J. Chem. Phys., Vol. 106, No. 6 (1997)

[3] J. Bang et. al., Adv. Mater. 2009, 21, 4769-4792

[4] T. Yamaguchi et. al., J. Photopolymer Science Technology, Vol.19 (2006) No.3 pp385-388

[5] D. Sundrawi et. al., NanoLett., 4(2004) p273

[6] W. Hinsberg, et. Al., Proc. SPIE 7637, 76370 (2010)

Page 64: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

64

第 5 章 新技術および用語解説

5-1 LER(LWR)

パターンの微細化に伴い、現像後、及び、エッチング後のパターンエッジのラフネス LER

(Line Edge Roughness)が重要視されてきている。この LER を左右する要因に関しては古くか

ら多くの報告がある。レジストベース樹脂を構成する分子のサイズや、反応サイトの位置及び

数のばらつき、また化学増幅系レジストでは酸拡散プロセスが、LER を引き起こす も大きな

要因と考えられる。このほか、レジスト現像時の膨潤や収縮、雰囲気汚染による酸濃度変化、

なども指摘されている[1]。LER 改善のためレジスト材料の観点からは、樹脂の分子構造や添加

剤の改良検討等が進められている。またレジストプロセスにおいても現像条件やベーク温度の

適化などが試みられている。しかし一般に、LER はレジストの感度とトレードオフの関係にあ

り、このような材料・プロセス開発は非常に難しい。ドライエッチング時に側壁の凹凸が均一化

されて短周期の LER が低減する傾向があるものの、後述するようにトランジスタ性能のばらつ

きに大きな影響を与える(ゲートの)長周期 LER は解消されない。

LER の指標としては、図 2-5-1 に示すように基準線からの片側エッジまでの寸法のバラツキ

(3σ)を用いるのが一般的である。また、パターンの寸法を測定してそのバラツキ(3σ)を LER と

する方法は、LWR(Line Width Roughness)とも呼ばれる。得られる LER(LWR)値に影響を与

える計測条件はいくつかあるが、 も影響の大きい計測パラメータは測定するパターンの長さ

(図 2-5-1 中の L)や測定箇所数(同図中の矢印の数)である。

ゲートラフネスの一般的な指標に関しては、これらの計測条件は固まりつつある。まず、ゲー

トの場合、重要なのはゲート長ゆらぎであることから、LER ではなく LWR が指標として使われる[2]。また、(1)トランジスタ内の LWR による局所短チャネル効果[図 2-5-2(a)]よりも、長い周期の

ラフネスによってトランジスタ内のゲート長が全体的に短くなる効果[図 2-5-2(b)]の方が大きい

こと、(2)実際、長周期のラフネス成分が存在すること、から、ライン長 L は十分大きくとる必要

があると考えられる[3]。具体的には、計測される LWR 値の L 依存性から、2μmという値が提案

されている。測定箇所数については精度の観点から、L=2μmに対して 200 箇所必要、という報

告がある。この場合、測定間隔は10nmとなる。この計測仕様は標準的なゲートLWR の指標で

あり、レジストやプロセスの性能比較に用いられることを想定している。一方で、個々の状況に

応じたオーダーメイドの LWR 計測が必要になるケースがある。例えば、接合深さに等しい周期

をもつゲート LWR 成分(短周期成分)を検出したい、という要求がある。この場合、45nm ハー

フピッチ世代以降で 4nm の測定間隔が必要となるが、特にこのような特定の周期成分を検出

する目的であれば、L=2μmである必要はない。L を大きくすると短周期成分が長周期成分に

紛れてしまうため、むしろ L を小さくとるべきであろう。このように、計測目的に応じて計測仕様

の検討が必要になるが、いずれの場合もライン(エッジ)長と測定箇所数あるいは測定間隔を明

記する必要がある。

LSI の微細化が進むにつれ、配線パターンの LER も議論されるようになってきた。配線 LER

Page 65: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

65

のトランジスタ性能に与える影響は、抵抗率の増大と TDDB (Time dependent dielectric

breakdown)特性の劣化の二つであるが、特に Cu/low-k 配線では後者の問題が顕在化すると

考えられる。デバイスシミュレーションを含め、今後詳細な検討が必要になると思われる。

また、計測上の問題として、画像ノイズの影響が指摘されている[4]。これはパターンエッジを

抽出する際に、画像ノイズのため観測エッジの位置が真の位置からずれる、という現象である。

このため、観測される LER・LWR の値は真の値よりも大きくなる。これは画像ノイズ起因の

LER/LWR バイアス、と呼ばれている。画像取得時の信号積算回数を増やせばノイズを低減す

ることができるが、レジストシュリンクなどの問題がある場合は難しい。特に小さい LER/LWR

(具体的には 3nm 以下)の計測にはこのノイズ起因バイアスに注意を払う必要がある。

参考文献

[1] 例えば T. Ohfuji, M. Endo and H. Morimoto: Proc. SPIE. 3678, 732 (1999).

[2] 例えば G. Eytan, O. Dror, L. Ithier, B. Florin, Z. Lamouchi and N. Martin: Proc. SPIE.

4689, 347 (2002).

[3] A. Yamaguchi, R. Tsuchiya, H. Fukuda, O. Komuro, H. Kawada and T. Iizumi: Proc.

SPIE. 5038, 689 (2003).

[4] J. S. Villarrubia and B. D. Bunday: Proc. SPIE, 5752, 480(2005).

図 2-5-1 一般的な LER(LWR)の測り方

Figure2-5-1 Measurement method for LER(LWR)

パターン

LER: 基準線からパターンの片側までの寸法の標準偏差を求める方法

LWR: パターン寸法の標準偏差を求める方法

L

Page 66: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

66

図 2-5-2 短周期の LWR と測定レンジによる変動

Figure2-5-2 Short-period LWR and Long Range Variation

5-2 EB のド・ヴロイ波長

(1)光(または質量=0 の粒子)の波長

エネルギー = e E(eV) = hc/λ

よって λ = (hc/e) /E(eV)

ここで、 h = 6.63×10-34 (Js) (プランク定数)

c = 3.00×108 (m) (光の真空での速度)

e = 1.60×10-19 © (電子の素電化)

結果として、

λ(m) = 1.24×10-6/E (eV)

λ(nm) = 1.24/E (keV)

(2)質量がある一般粒子の波長

エネルギー=e E(eV)=p2/2M

ここで、p:運動量 M:粒子の静止質量

一方 λ p = h (不確定性原理により)

2式から p を消去して整理すると、

λ = h/(2M e E(eV)) 1/2

電子の場合、M = 9.11×10-31 (kg)

よって、 λ = 1.23×10-9/(E(eV))1/2 (m)

= 1.23/(E(eV))1/2 (nm)

具体値として

Λ = 3.9pm(100kV)

Drain

Gate

(a) Short-period LWR ---Degradation (b) Long Range ----Variation

Long Lg

Short Lg

Vg

Id

Large Ioff

Source

Lg:Averaged gate length

Page 67: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

67

= 5.5pm(50kV)

=27.5pm(2kV) → 波長域 ≦0.05nm

表 2-3-1 中で EB 方式の波長を光方式との比較で算出させてみた。

5-3 DFM(Design For Manufacturability)

今日の目覚ましい IT 社会の発展は、先端半導体の急激な高度化の寄与するところ大であ

ることは明白である。性能向上、消費電力化、低コスト化といった半導体の高度化は、ムーア

の法則に則って 小回路線幅を2年で70%程度微細化して集積度を増大させることで実現し

てきたといえる。しかしながらここへ来て微細化の要であるリソグラフィ技術が変曲点に差し掛

かっている。ArF 液浸以降、微細化の王道である短波長化、高 NA 化のトレンドを維持すること

ができなくなっており、Double Patterning Technology (DPT)、EUVL、ナノインプリント、DSA な

ど従来トレンドにとらわれない新しい微細化技術が検討されてきている。DFM 技術もパターニ

ング技術の変貌に追随する形で、開発主体を変貌させながら発展を遂げる方向となってきて

いる。本年度版では、2010、2011年度で新たに進展した DFM 技術をまとめる。またコンセプ

ト的にはかなり固まってきた DFM 技術をベースとしたあるべき開発手順を明確にすると共に、

次の世代においてメインとなると予想される開発要素にも触れる。

Node [nm] 90 65 40 32 28 22 16 11

HP [nm] 120 90 65 45 40 32 22 16

Litho

CMP

Other

Open/Short

Performance/Timing

Other

Single Exposure Low‐k1Double Patterning EUVL

NILDSA

Process Technologies 

Yield lo

ss to be im

proved by DFM

 

‐ CA / Yield model‐ Via doubling‐Wire spreading 

Implementation

ComplexDR

‐ HSF‐ Litho‐aware P&R‐ Dummy for CMP

‐ RDR‐ Template DR‐ Yield model

‐ RDR‐ Template DR‐ Cell optimization ‐ Litho‐aware extraction‐ CMP model

‐ DPT‐aware layout‐ RDR‐ Decomposition

‐ EUV‐aware‐ NIL‐aware‐ DSA‐aware

‐ EUV‐aware‐ NIL‐aware‐ DSA‐aware

‐ Reliability‐aware‐ Signal integrity‐aware

Implementation

Implementation

Implementation

Implementation

‐ DPT‐aware layout‐ RDR‐ Decomposition

Defect/Random

Patterning/Systematic 

Etching                                                    Integration

図 2-5-3 プロセスの進展と DFM のカバー領域

Figure2-5-3 Process improvement and cover range of DFM

Page 68: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

68

図 2-5-3 に示すように、テクノロジーノード 90nm 世代あたりまでは、Defect 起因の歩留り劣

化がメインであり、Critical Area (CA)計算による killer defect 影響箇所予測技術とその対策技

術が発展した。65nm 以降ではリソグラフィマージンが特に小さいパターン箇所(Hotspot)起因

の Open-short レベルでの歩留り劣化が顕在化した。この Hot Spot の転写性検証並びに修正

工程がチップ設計フローの中に取り込まれて実用化されてきているのがこの2年間の進展の1

つである。次に 40~32nm 以降ではタイミング不良等、Parametric 起因の歩留り低下が顕著に

なってきた。Parametric 不良の主要因であるタイミング不良に関してはゲートパターンの方向、

間隔を限定する等の Restricted Design Rule (RDR)の適用が効果的である。またリソグラフィに

よるレイアウトからの線幅・形状ずれを考慮した容量抽出、タイミング検証技術の効果が実証さ

れてきている[1]。更に 22~16nm 世代では主流となる DPT に対応して DPT-Aware なレイアウト

及び設計ルール、レイアウトを複数枚のマスクに矛盾無く分割する Decomposition 技術、等の

開発がこの2年間で進展している[2]。

④セル設計↓

⑤チップ設計

OPCMask Litho 

プロセス(①試作→⑦本番)

Fail箇所・ルール特定Open/ShortPerformanceReliability

Hot Spot修正指針

Dummy発生指針

⑥設計情報を製造公差に変換。スペック適正化

設計インテント(意図)

HotspotDishing Erosion

EtchingCMP

Other Process

CA (Defect)Patterning yield

Performance yield

歩留り解析・予測

製造公差

製造公差

製造公差

Complex DR

網羅的対処

特異的対処

Template

RDR

③設計環境構築(インプリメンテーション)

②設計指針明確化

図 2-5-4 DFM を前提とした設計、プロセス開発の流れ

Figure2-5-4 Flow chart of design and process development based on DFM

図 2-5-4 はこれまで提案されてきた DFM 技術を前提とした設計、プロセス開発の流れのあ

るべき姿を示している。まず①設計指針取得用の TEG と各種プロセスシミュレーション結果か

Page 69: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

69

ら、②設計指針を明確にすると同時に設計指針に矛盾しないプロセスターゲットをFIXする。こ

の設計指針とプロセスターゲットそれぞれにミートした設計、プロセス両方が実現して初めて次

世代半導体を量産することができる。次に②設計指針に従って③設計環境を構築する。具体

的には DRC 構築、セル設計・チップ設計ツールのインプリメンテーションを実施する。構築さ

れた設計環境を用いて④セル設計、⑤チップ設計を実施する。DFM としては Hotspot Check

& repair, Litho-aware extraction 等を施す。この間プロセス開発もプロセスターゲットを目指し

て同時進行している。レイアウトが FIX した段階で⑥設計インテントを元にして製造公差を求め、

⑦プロセス管理基準の適正化を図り、オーバーキル、アンダーキル撲滅に活かす。

L variation (nm)

slac

k (n

s)T

imin

g sl

ack

valu

e

OK

No Good L

Assignment of gate linewidth tolerance

Sensitivity analysis forCD tolerance estimation

Tim

ing

criti

cal p

ath

ext

ract

ion

Critical Path Tolerance < 1 nm

Non-critical Path Tolerance > 5 nm

0%

1%

2%

3%

4%

5%

6%

7%

0 5 10Itertion Number

OP

C C

onve

rgen

ce E

rror

Iteration for OPC convergence 3 10 5

Non‐critical Path >5nm

Critical Path <1 nm

0

5000

10000

15000

20000

25000

30000

35000

40000

1 2 3 4 5 6 7 8 9 10 moreOPC/Litho-check spec (nm)

frequ

ency

+L Tolerance (nm)

Freq

uen

cy of path

1%

95%

4%

1 2 3 4 5 6 7 8 9 10 more

1% x 10 +4% x 5+95% x 3

100% x 10 = 31%OPC 

Cycle Time = 

図 2‐5-5 設計インテント(意図)を元にした公差による効率的な LSI 製造の一例

Figure2-5-5 Example of effective torerance-aware LSI manufacturing

based on design intent

図 2-5-5 は公差ベース製造によるプロセス効率化の一例として、OPC 効率化の効果を示す[3]。Timing Closure によってチップ設計が完了した段階で、Timing Critical な Path に対してゲ

ート寸法変動に対する Timing Slack を SPICE MODEL から求め、Path ごとの Critical 度に応じ

て必要ゲート寸法精度を求めて対応するセルに割り付ける。ゲート層 OPC の収束計算時に当

該許容寸法誤差を反映させる。すなわち許容寸法誤差が緩いゲート箇所は Iteration 回数を

低減させて計算効率を向上させる。今回の例ではゲート寸法精度が 1nm 以下と厳しいセルは

全体の1%程度であり、その他のセルは寸法誤差 3nm 以上でも許容であることが判明した。こ

Page 70: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

70

の知見に基づき OPC の Iteration 回数を削減した結果、OPC 処理時間を30%程度にすること

ができた。

今後は DPT に対応した DFM 技術を設計環境で適用するためのインプリメンテーションが充

実していく。また次世代リソグラフィ候補である EUVL、NIL、DSA に対する DFM 技術開発が進

行する。更に信頼性、Signal Integrity 等に対処するための DFM 開発が本格化するものと思わ

れる。

参考文献

[1] Keisuke Hirabayashi et. al., Proc. of SPIE Vol. 7974 797408-1 (2011)

[2] Lars Liebmann et. al., Proc. of SPIE Vol. 7974 79740K-1 (2011)

[3] Sachiko Kobayashi et. al., Proc. of SPIE Vol. 7641 76410L-1 (2010)

5-4 データ変換、データ形式

5-4-1 データ変換

テープアウトされた設計データ(GDSII)は、RET 処理を行った後、マスク描画装置および

Die-to-DB 方式の検査装置用のデータ形式へと変換される。通常、描画装置および検査装

置は、機種ごとに 適化された固有のデータ形式を持っており、これらの形式へとデータを変

換する工程を Mask Data Preparation (MDP) と呼ぶ[1]。

MDP は一般に専用のソフトウェアによって処理され、単純な形式変換のほかに以下のような

処理も同時に行われる。

1. スケーリング

露光装置およびマスクの倍率に応じて、設計パターンを拡大または縮小する。

2. ミラーリング

露光データと設計データはクロム面に対して鏡像関係にあるため、Y 軸を中心にミラ

ーリングする。

3. ウィンドウ切り出し

指定された領域の図形だけを抽出する。

4. レイヤ合成

入 力 す る 設 計 デ ー タ (GDSII) に お い て 定 義 さ れ た レ イ ヤ を 論 理 演 算 処 理

(AND/OR/XOR 等) によって結合し、マスクレイアウト上のレイヤを新たに生成する。

5. 白黒反転

使用するレジストおよびマスクのネガポジの組み合わせに応じて、設計パターンを白

Page 71: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

71

黒反転する。

6. プロセスバイアス、マスクバイアス (サイジング)

マスク描画装置では、実際に描画されるパターンは、装置の製造プロセスにより多少

膨張あるいは収縮してしまう。このため、マスクのパターン寸法が設計パターンと一致

するよう、各パターンの幅や高さを補正する。

7. 露光かぶりの除去 (アウトライン化)

VSB 方式の露光描画装置では、図形の重複があった場合、装置はそのまま複数回

描画するが、これは当該箇所および周辺のパターン精度に重大な影響を与えてしまう

ためデータ変換の段階で除去を行う。

8. 台形化 (フラクチャリング)

設計データは主に多角形の図形で構成されているが、描画装置では、正方形、長方

形、台形および三角形のみ描画可能であるため、多角形の図形をこれらの図形に分

割する。また装置によっては、0°、45°、90°以外の角度の斜辺についても図形分

割を行い、近似する必要がある。

9. 微小図形解消処理

VSB 方式の描画装置の場合、描画する図形が小さすぎると、十分なエネルギーが照

射されずに欠損となってしまう。このような十分な精度が得られない図形を MDP では

微小図形と呼ぶ。この微小図形を、描画パターン全体の形状は変えないまま周辺の

図形群の配置に応じて解消し、各図形を十分な大きさに保つよう調整する。

10. CD リニアリティ・ユニフォーミティの考慮

描画パターン内で、もっとも描画精度の要求が厳しい箇所を Critical Dimension (CD)

と呼ぶが、このような箇所における精度を確保するため、周辺のパターン形状を 適

化する。 また、同一形状 CD が設計データ上に複数存在する場合、それら複数 CD

の形状再現性を確保するため、同一パターン形状になるように 適化する。

11. ショット数削減処理

VSB 方式の描画装置の場合、描画に要する時間は描画パターンの総ショット数に依

存する。適切な位置で多角形を台形化することによってショット数を削減し、描画時間

を短縮することができる。

12. データ圧縮

描画装置のデータ形式は一般にデータ量削減のための図形繰り返し等の圧縮表現

を用意している。描画パターンから適切に繰り返しを抽出し、これらの形式でデータを

記述することによって、マスクデータのデータ量を削減する。

13. 描画面積比率計算

マスク描画装置は、描画するパターンの密度、すなわち特定の面積あたりの総露光量

によって描画精度にばらつきが生じてしまう。このため、描画するマスクパターンの面

積比率を計算し、露光量等を調節する際に参照する。

Page 72: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

72

14. Mask Rule Check (MRC)

設計パターンの寸法エラーや、Sub-Resolution Assist Features (SRAF)、OPC のジョ

グ、スキャッタリングバーなど、検査機の能力を超えて疑似欠陥エラーとなるパターン

を事前に検出することによって、レビュー時間の短縮を図る。

15. マスクレイアウト (ジョブデック) の構成

MDP では、各マスク面を構成するパターンの配置情報を定義したジョブデックと呼ば

れるファイルも構成し、出力する。通常、描画装置はこのジョブデックを読み込み、必

要なパターンデータを入力してマスクを描画する。

特に VSB 方式の描画機においては、適切なデータ処理は歩留まりや Turn-Around-Time

(TAT) の観点から重要である。描画パターン中に微小図形や重複図形が含まれていれば、

その箇所の歩留まりは著しく低下してしまう。また、描画データ量が大きすぎれば、データ処理

や転送の時間が増大し、描画装置のスループットが低下してしまう。

近年は、パターンの高精細化に伴って、設計データに OPC 等の補正処理を加えることが

一般的であり、これが微小図形やデータ量の著しい増大を招いている。それに伴って描画時

間および MDP 処理に要する時間も顕著に増大している。こうした問題に対応するため、

MDP ソフトウェアは高性能なマルチコア CPU や高速ネットワークなどの H/W インフラの使

用や、設計データの階層を維持したまま処理する階層処理、複数のコンピュータ上に処理を

分散させる並列処理などのソフトウェア技術を用いている。また、データの大容量化に対応す

るため、設計工程からのパターン重要度情報(設計インテント)を参照して、描画ショット数が削

減されるように描画パターン形状を 適化したり、繰り返しパターンを抽出して一括露光用デ

ータを作成する等、描画時間短縮のためのデータ処理も研究されている。

また今後は、更なるデータの高精細化に応じて、マスクプロセスシミュレーションからパターン

毎にマスクバイアス量を決定する処理(MPC)や、EUV 露光装置用の射影効果補正処理やフレ

ア補正処理も必要になってくるものと思われる。更に、そういった高精細データに対して描画

時間を短縮する要求が高まっており、図形を重ねてショット数を減らしたり、複数パスで描画す

る場合に高精細なデータと精度を落としたデータを用意して総ショット数を減らしたりする方法

が、マスクプロセスシミュレーションと組み合わせて提案されている。これらを実現するためには、

露光かぶりや描画機外部からのドーズ量割り当てを許容する等、描画機側での対応も必要で

ある。

Page 73: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

73

GDSII OASIS

Tape‐Out Design Data

‐ Scaling‐Window Clipping‐Mirroring

Reverse Tone

MultipleLayer Merge

‐Outline‐ Fracturing

‐ Small Figure Treatment‐Shot Count Reduction

‐ Data  Compaction

MRC

Data Verification

VSB

Error Report

Hot Spot Report

Writing MachineInspection Tool

Mask Pattern Data

Job Deck Layout

Process Bias

図 2-5-6 MDP flow (データ変換フロー)

Figure2-5-6 MDP ( Mask Data Preparation ) Flow

5-4-2 データ形式

MDP で扱うデータ形式には以下のようなものがある。基本的に入力する設計データ (GDSII,

OASIS) 等はベクトル型のデータ形式であり、出力する描画装置および検査装置はラスタ型に

近いデータ形式であることが多い。

GDSII (Graphic Design Standard #2)

GDSII は、任意の形状の多角形や線分と幅からなるパスなどの柔軟なベクトル型の表

現と、単純で構造化されたストリーム形式のデータ構造を持ち、ソフトウェアで扱いや

すく、テープアウトや OPC 等のデータ補正処理の出力として広く用いられている。

OASIS (Open Artwork System Interchange Standard) (SEMI P39)

OASIS は GDSII の冗長性によるデータ量の増大という欠点を補うべく定義された後

継フォーマットである。データ構造は GDSII と同様のストリーム形式だが、図形表現

方法の拡張が行われ、大幅なデータ量の圧縮 ( 大 1/10 程度) が可能となった。

MEBES

MEBES は複数ある描画装置固有のデータ形式のうちで、もっとも単純で古くから存

在するフォーマットである。ストライプ、セグメントと呼ばれる単位処理領域からなる単純

なラスタ型のデータ構造であり、図形の表現も単純な矩形および台形とその行列式の

繰り返しのみで、ソフトウェアで扱いやすく広く用いられてきた。

その他の描画装置固有のパターンデータ形式

Page 74: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

74

描画装置および検査装置は、基本的に装置固有のデータ形式のみを入力することが

可 能である。これらの形式は装置の仕様に 適化されており、設計データである

GDSII と比較して、さまざまな制限が存在する。例えば、GDSII は多角形の図形を表

現することができるが、一般的な装置のデータ形式においては、多角形はサポートさ

れていない。また GDSII のセルにあたる内部の領域区分にはサイズ制限が存在す

る。

描画装置固有のジョブデック

描画装置および検査装置は、装置固有のマスクレイアウトを定義するデータ形式を有

している。これらは通常テキスト形式であり、マスク面上に配置するマスクパターンの座

標に加えてスケーリング値、ミラーリング設定などが記述され、品番等のマスクの ID

情報もこのファイルで定義される。

OASIS.MASK (SEMI P44) (旧 OASIS.VSB) [2]

これまでは描画装置および検査装置の機種ごとに定義されてきたデータ形式を

OASIS を基に標準化し、OASIS の多彩な表現方法に一部制限をかけ、装置で取り

扱えるラスタ型の表現要素のみで構成されるようにしたものである。 OASIS.VSB に

CBLOCK 等の拡張を追加し、更なるデータ圧縮を実現した。

MALY (Mask Layout Data Format) (SEMI P45)

OASIS.MASK のジョブデックとして、OASIS.MASK と同時に策定された。

参考文献

[1] Toshio Suzuki, Junji Hirumi, Nobuyuki Yoshioka, et al. "Improvement of unified mask

data formats for EB writers" Proc.SPIE 5446 "Mask data preparation and design process

integration" 414,(2004)

[2] 田邉、法元、竹花「入門フォトマスク技術―LSI,FPD,PWB,MEMS のためのフォトマスク」

工業調査会、p.30

5-5 Directed Self-Assembly (DSA)

5-5-1 高分子ブロック共重合体リソグラフィー(Block Copolymer Lithography)

微細加工は光などの電磁波を用いてマスクパターンをレジスト上に縮小露光するフォトリソグ

ラフィー法に代表されるトップダウン的手法が半導体分野を中心に発展を遂げてきた。しかし

ながら、加工サイズが回折限界に迫るに従い、技術・コストの両面からその限界が明らかになり

つつある。そのような状況下、物質が自然の摂理に従い自発的に構造を形成する“自己組織

化現象”を応用する試み、すなわちボトムアップ的アプローチが注目されている。

自己組織化現象を利用したパターン形成法としては、ナノサイズの粒子が規則的に配列す

る現象[1,2]、アルミの陽極酸化により形成される規則的なポア構造が形成される現象[3,4]、およ

Page 75: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

75

び高分子ブロック共重合体がナノサイズの規則的ドメイン(ミクロドメイン)を形成するミクロ相分

離構造現象[5]等を応用した手法等が報告されている。特に、ミクロ相分離現象を応用した手法

は、高分子ブロック共重合体の分子構造や分子量によりパターンの形状や大きさを制御でき

る優れた方法である。本節ではミクロ相分離現象を活用することのより従来のリソグラフィーの

限界を打破する取り組みについて解説する。

高分子ブロック共重合体は異種の高分子鎖が末端で結合した構造を有する高分子である

(図 2-5-7)。異種の高分子鎖が混合した場合、高分子鎖間に作用する斥力により相分離が誘

発される。しかしながら、高分子ブロック共重合体の場合、異種高分子鎖が互いに化学結合で

繋がっていることにより巨視的な相分離を発現することができず、図 2-5-8 に示すように、高分

子鎖の広がりに相当するサイズ、すなわち数 nm~数十 nm に相当する球(sphere)状、シリンダ

(cylinder)状、あるいは板(lamella)状のミクロドメインが規則的なに配列した構造を形成する。

この際、得られる構造の形状やサイズは高分子ブロック共重合体を構成する高分子鎖の分子

量により決定される[5]。このミクロドメインをマスクやテンプレートとしてパターニングに応用する

手法を高分子ブロック共重合体リソグラフィー(Block copolymer lithography)と総称する[6-9]。

図 2-5-7 高分子ブロック共重合体

Figure 2-5-7 Block copolymer

Page 76: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

76

図 2-5-8 高分子ブロック共重合体のミクロ相分離

Figure 2-5-8 Microphase separation of block copolymer

図 2-5-9 に高分子ブロック共重合体リソグラフィーの基本的なプロセスを図示する。まず、基

板に所望の形状のミクロドメインを自己組織化する高分子ブロック共重合体の薄膜をスピンコ

ート法等により成膜する。引き続き、この薄膜に熱を加える、あるいは溶媒蒸気に暴露すること

等により高分子ブロック共重合体の相分離を誘発し薄膜中にミクロドメインを自己組織化する。

次に、得られたミクロドメインの一方をエッチングにより除去し、ミクロドメインに由来する凹凸構

造を有する膜を形成し、 後にこの膜をマスクとして基板をエッチングする。ここで、球状のミク

ロメインや、基板に対して直立したシリンダ状ミクロドメインを適用すれば規則的にドットが配列

したパターンが、シリンダ状のミクロドメインが基板に対して並行に配向した構造、あるいはラメ

ラ状のミクロドメインが基板に対して直立した構造を適用すればライン&スペースパターンを形

成することができる。

Page 77: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

77

図 2-5-9 高分子ブロック共重合体リソグラフィーの基本的プロセス

Figure 2-5-9 Block copolymer lithography process

さて、自己組織化現象を活用する上での 大の課題は、自発的な構造形成に如何に人為

を加え、すなわち“制御”して所望の形状を得るかという点にある。図 2-5-10 は Si 基板表面に

ポリスチレン(Polystyrene, PS)とポリメチルメタクリレート(Polymethyl methacrylate, PMMA)から

なるポリスチン-ブロック-ポリメチルメタクリレート共重合体(Polystyrene-block-polymethyl

methacrylate, PS-b-PMMA)の薄膜を塗布し、自己組織化させ、その後、酸素プラズマにより

PMMA 相を除去した膜を走査型電子顕微鏡(Scanning Electron Microscope、SEM)で観察し

た例である。図 2-5-10(a)はシリンダ状のミクロドメインを、図 2-5-10(b)はラメラ状のミクロドメイン

をそれぞれ基板に対して直立するように自己組織化させた例である。図 2-5-10(a)では、直径

約 12 nm のシリンダが格子周期 24 nm(シリンダ間の 近接中心間の距離にすると 28 nm)の

六方格子を一面に形成しているが、巨視的に見ればシリンダが規則的に配列した領域がポリ

グレイン状の構造をとっている。また、図 2-5-10(b)ではフルピッチ 40 nm のライン&スペースパ

ターンを形成しているが、その構造は指紋状である。自己組織化プロセスはサイズが小さく、

短距離秩序性に優れた構造を容易に形成することが可能である。しかしながら、これらの例に

示されているように、半導体デバイス等への適用を考える上では長距離秩序性の向上法や所

望のパターンを得る方法が必要となる。そのための手段として、自己組織化現象を制御・誘導

Page 78: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

78

する技術、即ち Directed Self-assembly (DSA) が検討されている。DSA は自己組織化過程を

トップダウン的リソグラフィープロセスによりあらかじめ設けたテンプレートにより制御する手法を

中心に研究開発がなされており、ボトムアップ技術とトップダウン技術の融合技術として注目さ

れるナノテクノロジー技術である。次項以降、代表的な DSA 技術であるグラフォエピタキシー

制御法(Graphoepitaxy)とケミカルレジストレーション法(Chemical Registration)について、特

に後者を中心に解説する。

図 2-5-10 PS-b-PMMA 薄膜の自己組織化パターン

Figure 2-5-10 Self-assembled patterns of PS-b-PMMA thin films

5-5-2 グラフォエピタキシー(Graphoepitaxy)

フォトリソグラフィー法等により幅が数十~数百 nm の溝を基板の表面に作成し、その内部で

ミクロ相分離構造を発現させることにより、溝の壁面に沿ってミクロドメインを配向させる方法(グ

ラフォエピタキシー法)が広く研究されている[10-15]。この方法は立体的に自己組織化を拘束す

る方法である。シリコン基板表面上にフォトリソグラフィー法でライン&スペースパターンを設け、

その溝部に PS-b-PMMA を流し込み自己組織化させた例を図 2-5-11 に示す。図 2-5-11(a)は

PMMA からなる球状のミクロドメインを自己組織化させた例、図 2-5-11(b)はシリンダ状のミクロ

ドメインを基板に対して並行に配列するように自己組織化させた例である。どちらの例からも、

溝の壁面に沿うようにミクロドメインの配列が配列していることが分かる。グラフォエピタキシー

法では、ここに図示した例に加えて、円形、方形、三角形等の窪みに沿った配列[14]や、壁面

の代わりにポスト状の構造体による制御[15]等も報告されている。

Page 79: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

79

図 2-5-11 トレンチ中における PS-b-PMMA の自己組織化の自己組織化パターン

Figure 2-5-11 Structures of PS-b-PMMA thin films self-assembled

in topologically patterned trenches

グラフォエピタキシーは自己組織化のスケール(即ち、ミクロドメインが形成する規則構造の

サイズ)に対して 1 桁程度大きいスケールを有する立体的構造体で自己組織化現象を制御し

ようとする場合が多い。しかしながら、構造体の壁面がミクロドメインの配列に及ぼす影響は壁

面からの距離が増大するに従い減少し、壁面から離れるにつれ位置ずれが積算され長距離

秩序性が悪化すること等の問題点がある。

5-5-3 ケミカルレジストレーション(Chemical Registration)

ケミカルレジストレーション法は高分子ブロック共重合体の分子鎖と基板との化学的な相互

作用によりミクロドメインを化学的に拘束することにより高分子ブロック共重合体の自己組織化

を制御する方法である。基板表面の化学的状態により高分子ブロック共重合体の自己組織化

を制御できる可能性は Rockford らにより報告さた[16]。その後、Nealey らにより、あらかじめリソ

グラフィーにより基板の表面化学状態をライン状にパターン化した基板をテンプレートとして高

分子ブロック共重合体が形成するラメラ状ミクロドメインを配置できることが示された(図 2-5-12)[17]。

Page 80: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

80

図 2-5-12 化学的テンプレート上で PS-b-PMMA を自己組織化することにより

配列したラメラ状ミクロドメイン。

(文献 17 より引用許可を申請中。Copyright 2003, Nature Publishing Group)

Figure 2-5-12 Lamella structure self-assembled by PS-b-PMMA thin film on chemically

pre-patterned template. (Reprint permission from Nature [17] under application.

Copyright 2003, Nature Publishing Group)

図 2-5-13 にケミカルレジストレーション法の概念を、高分子ブロック共重合体として PMMA か

なるシリンダ状ミクロドメインが PS からなるマトリックス中で規則的に配列した構造を自己組織

化する PS-b-PMMA を例に示す。まず、図 2-5-13(a)に示すように、表面を PMMA と PS に対し

て表面張力が異なる化学状態を有する領域に既存のリソグラフィー法を用いてパターン化した

テンプレート基板を作成する。次に、このテンプレート基板表面上に PS-b-PMMA を成膜し、ミ

クロ相分離構造を発現させる。この際、テンプレート基板表面の化学的パターンと

PS-b-PMMA が発現する自己組織化パターンの形状や周期が同等であれば、図 2-5-13(b)に

模式的に示すように、テンプレートパターン表面と PS と PMMA の濡れ性の差により、テンプレ

ートパターンに沿って PMMA からなるシリンダ状ミクロドメインが配列する。

Page 81: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

81

図 2-5-13 ケミカルレジストレーション法の概念

Figure 2-5-13 Schematic illustration demonstrating chemical registration process

実際に電子線直接描画法(EB 描画法)により作成した化学的テンプレートパターン上で

PS-b-PMMA を自己組織化し PMMA からなるシリンダ状ミクロドメインを基板に対して直立した

状態で配列させた結果を図 2-5-14 に示す。図 2-5-14 において左側は化学的テンプレートパタ

ーンの無い領域、右側はテンプレートパターンの存在する領域である。テンプレートパターン

が無い領域では長距離秩序性に乏しい構造を形成しているが、テンプレートパターン上にお

いては、シリンダ状のミクロドメインが化学的なパターンにより拘束され、ほぼ無欠陥のシングル

グレインを形成できている様子が確認できる。この結果は化学的にパターン化したテンプレー

ト基板で高分子ブロック共重合体の自己組織化を制御することが可能であることを示すもので

ある。なお、ここではシリンダ状ミクロドメインによるドットパターンの自己組織化制御を例とした

が、上述したように基板に対して直立配向したラメラ状ドメインによるライン&スペース形成[17-20]、基板に対して並行に配向したシリンダ状ドメインによるライン&スペース形成[21]、球状ド

メインによるドットパターン形成[22]も同様に制御できることが報告されている。

Page 82: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

82

図 2-5-14 化学的テンプレートパターンが PS-b-PMMA の自己組織化に及ぼす効果

Figure 2-5-14 Effect of chemically pre-patterned template

on self-assembly of PS-b-PMMA thin film

5-5-4 ケミカルレジストレーション法によるテンプレートパターンの補正

バルクにおける高分子ブロック共重合体はサイズの揃ったミクロドメインが短距離秩序性よく

配列した平衡構造を形成する。また、ドメイン間の界面は高分子ブロック共重合体を構成する

高分子鎖間の相互作用で決定され、基本的に平滑な界面を形成する。この特徴を活用するこ

とでテンプレートプレパターンが有するパターンの乱れや欠陥を高分子ブロック共重合体の自

己組織化で補正できる可能性がある。具体例を示す。

まず、図 2-5-15 に化学的テンプレートパターンを作成するのに用いたマスクパターン(EB 描

画で作成)と、そのマスクを用いて作成したテンプレートパターン上で PMMA シリンダを形成

する PS-b-PMMA を自己組織化して得られたパターンの SEM 像を示す。マスクパターンは直

径が大きくなるにつれて、パターンの形状にバラツキが生じると共に、隣接するドット同士が融

合した欠陥が増加している様子が見て取れる。一方、自己組織化で得られたパターンの直径

は均一であり欠陥も低減されている。

Page 83: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

83

図 2-5-15 ケミカルレジストレーション法のテンプレートパターン補正効果

Figure 2-5-15 Pattern rectification effect of chemical registration process

図 2-5-16 にテンプレート形成用のマスクパターンと対応する自己組織化パターンを広範囲

に観察した結果を示す。EB 描画パターンには約 40%の格子欠陥の存在が認められた。一方、

自己組織化パターンでは欠陥は大幅に減少し、その割合は約 3%となった。この結果は

PS-b-PMMA の自己組織性により、EB 描画時に欠損した格子点にもシリンダが挿入され、パタ

ーンエラーが補正されたことを示すものである。

図 2-5-16 ケミカルレジストレーション法による欠陥低減

Figure 2-5-16 Defect reduction of template pattern by chemical registration process

Page 84: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

84

以上示したように、ケミカルレジストレーション法を適用すればテンプレート基板が有する各

種エラーを補正することが可能である。さらに、テンプレートパターンが有するラインエッジラフ

ネス(Line Edge Roughness, LER)の補正の可能性を示す結果も示されている[23-25]。パターン

の微細化に伴い、従来のリソグラフィー技術をその加工限界で適用することが必要になり、クリ

ティカルデキメンジョン(CD)や欠陥の制御が困難となることが予測される。ケミカルレジストレ

ーション法によるパターン補正効果はこのような場合に特に有効であると考えられる。

5-5-5 ケミカルレジストレーション法によるテンプレートパターンの高密度化

図 2-5-16 に示した結果はテンプレートパターンに存在する欠損格子に自己組織化により格

子点を挿入することができることを示している。この特性をより能動的活用することにより、パタ

ーン密度を高密度化することができる[26-30]。まず、高分子ブロック共重合体の平衡構造が有す

るパターン周期の整数(N)倍の周期でテンプレートパターンを作成する。その表面に高分子

ブロック共重合体を塗布し自己組織化させると、テンプレートパターン上に加えて、テンプレー

トパターン間にもミクロドメインが挿入されることにより、テンプレートパターンの 1/N の周期を有

するパターンが生成する。

図 2-5-17 にバルクにおいて PMMA からなるシリンダ状ミクロドメインが周期 24 nm で規則的

に配列した構造を形成する PS-b-PMMA を、周期 72 nm のテンプレート上で自己組織化した

結果を示す[28]。図 2-5-17 左図が化学的テンプレートパターンの作成に適用したマスクパター

ン、図 2-5-17 右図が自己組織化パターンの SEM 像である。狙い通り、自己組織化パターンの

周期はマスクパターンの周期の 1/3 である 24nm となっており、テンプレートの格子点上に加え、

格子間にもシリンダ状ミクロドメインが格子を補間するように自己組織化され、周期を 1/3、格子

点密度にすると9倍化できたことを示している。同様の結果は球状ミクロドメインによるドットパタ

ーン[22]やラメラ状ミクロドメインを用いたライン&スペースパターン[20,29,30]でも可能であることが

報告されている。本結果は、ケミカルレジストレーション法により従来のトップダウン的リソグラフ

ィー技術が有する微細化限界を打破できる可能性を示すものとして注目される。

Page 85: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

85

図 2-5-17 ケミカルレジストレーション法によるパターン密度向上

Figure 2-5-17 Areal pattern density multiplication by chemical registration process

5-5-6 DSA の半導体リソグラフィーへの適用に向けて

上述したように、DSA は現行のフォトリソグラフィー法がパターンサイズのさらなる縮小に対し

て有する課題を解決するポテンシャルを有する技術であると期待され、ITRS ロードマップにお

いては 16 nm ノード以降の重要な技術オプションとして位置づけられている[31]。DSA のデバイ

スへの応用は次世代ハードディスク技術の一つであるパターン媒体のマスタリングへの適用を

中心として開発が進んできたが[30,32]、近年、半導体微細加工への応用に関しても目覚ましい

進歩が報告されている[33-36]。半導体微細加工へ適用としては、193nm 液浸リソグラフィー法等

によりケミカルレジストレーションに必要なテンプレートパターンを作製し、そのパターンサイズ

DSA により補間・縮小する手法が検討されている。特に、Bencher らによる 300mm ウエハ全面

へフルピッチ 25nm の L/S パターンの形成結果の報告は DSA の可能性を示すものとして注目

される[34]。

DSA をリソグラフィーへ適用する上での 大の課題は欠陥の低減にある。上述したように

DSA を用いるとトップダウン的手法で形成したテンプレートパターンの欠陥を低減できる。しか

しながら、DSA は高分子ブロック共重合体薄膜の自由エネルギを 小にするように平衡構造

を形成するプロセスであり、熱揺らぎの影響がパターン形状の分布や欠陥の発生に大きな影

響を及ぼす。系の自由エネルギは自己組織化材料である高分子ブロック共重合体のみならず、

テンプレート表面の化学的状態やパターン形状等が複合して決定される。そのため、アプリケ

ーション、特に半導体微細加工が要求するパターン精度や欠陥率を DSA により達成するため

には、DSA プロセスのさらなる物理化学的深耕が必要と考えられる。

一方、ロジック系半導体への適用を考えると DSA で形成できるパターンの自由度の向上も

必要であるが、その可能性を示す報告がなされている。図 2-5-18 は化学的レジストレーション

によりラメラ状ミクロドメインを基板に直立化した状態で円弧状に配列することで作成したライン

&スペースパターンの例である[20]。図 2-5-10(b)に示したように、基板に並行に配列化したシリ

ンダや直立したラメラ状ミクロドメインは指紋状に曲った構造を平衡構造として形成する。その

ため、曲率が平衡構造と同等である場合、円弧状化学的テンプレートパターン上に高分子ブ

ロック共重合体を配置した構造を可能である。一方、ラインパターンを鋭角に曲げる場合、頂

点近傍において高分子ブロック共重合体分子鎖のコンフォメーションを平衡構造から大きく乖

離させる必要があり、エネルギ的に不利である。Nealey らは高分子ブロック共重合体にホモポ

リマをブレンドすることにより、頂点近傍での高分子鎖の歪を緩和することでこの課題を解決で

きることを示した(図 2-5-19)[19]。この他、Nealey らは、ラインパターンが接続したジョイント構造、

短いラインパターンがパッチ状に配置した構造、さらにラインやドットが孤立したパターン等、

半導体配線の基本となる各種パターンが化学的レジストレーションで実現可能であることを実

Page 86: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

86

証している(図 2-5-20)[35,36]。

図 2-5-18 ケミカルレジストレーション法により作成した円弧状パターンの例。A:テンプレート

パターン、B,C:自己組織化パターン。(文献 20 より引用許可を申請中。

Copyright 2008, WILY-BCH Verlag GmbH & Co.)

Figure 2-5-18 Concentric L/S pattern self-assembled by chemical registration process. A:

template, B&C self-assembled pattern. (Reprint permission from Advance Materials [20]

under application. Copyright 2008, WILY-BCH Verlag GmbH & Co.)

図 2-5-19 ケミカルレジストレーション法により作成した矩形パターンの例。

Page 87: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

87

(文献 19 より許可引用許可を申請中。)

Copyright 2005, American Association for the Advancement of Science)

Figure 2-5-19. Bended L/S pattern self-assembled by chemical registration process.

(Reprint permission from Science [19] under application. Copyright 2005,

American Association for the Advancement of Science)

図 2-5-20 ケミカルレジストレーション法により作成した各種形状の例。

(文献 35 より引用許可を申請中。Copyright 2007, American Chemical Society)

Figure 2-5-20 Essential integrated circuit geometries self-assembled by chemical

registration process. (Reprint permission from ACS Nano [35] under application.

Copyright 2007, American Chemical Society)

参考文献

[1] T. Yonezawa, S. Onoue, N. Kimizuka, Formation of Uniform Fluorinated Gold Nanoparticles and Their Highly Ordered Hexagonally Packed Monolayer, Langmuir, 17, 2291 (2001).

[2] S. Sun, C. B. Murray, Synthesis of Monodisperse Cobalt Nanocrystals and their Assembly into Magnetic Superlattices, J. Appl.Phys., 85, 4325 (1999).

[3] H. Masuda, K. Fukuda, Ordered Metal Nanohole Arrays Made by a Two-Step Replication of Honeycomb Structures of Anodic Alumina, Science, 268, 1466 (1995).

[4] K. Nishio, T. Yanagishita, S. Hatakeyama, H. Maegawa, H. Masuda, Fabrication of ideally ordered anodic porous alumina with large area by vacuum deposition of Al onto mold, J. Vac. Sci. Technol. B, 26, L10 (2008).

[5] I. W. Hamley in “Developments in Block Copolymer Science and Technology” ed. I. W. Hamley, Jhon Wiley & Sons, p.1 (2004).

[6] M. Park, C. Harrison, P. M. Chaikin, R. A. Register, D. H. Adamson, Block Copolymer Lithography: Periodic Arrays of ;1011 Holes in 1 Square Centimeter, Science, 276, 1401 (1997).

[7] C. Park, J. Yoon, E. L. Thomas, Enabling nanotechnology with self assembled block

Page 88: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

88

copolymer patterns, Polymer, 44, 6725 (2003).

[8] C. J.Hawker, T. P. Russell, Block Copolymer Lithography: Merging “Bottom-Up” with “Top-Down” Processes, MRS Bulletin, 30, 952 (2005).

[9] J. Bang, U. Jeong, D. Y. Rye, T. P. Russel, C. J. Hawker, Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns, Adv. Mater, 21, 4769 (2009).

[10] R. A. Segalman , H. Yokoyama , E. J. Kramer, Graphoepitaxy of Spherical Domain Block Copolymer Films, Adv. Mater, 13, 1152 (2001).

[11] J. Y. Chen, C. A. Ross, E. L. Thomas. H. I. Smith, G. J. Vancso, Fabrication of nanostructures with long-range order using block copolymer lithography, Appl. Phys. Lett., 81, 3657 (2002).

[12] S. Xiao, X. Yang, E.W. Edwards, Y-H. La, P. F Nealey, Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays, Nanotechnology, 16, S324 (2005).

[13] Chen F, Akasaka S, Inoue T, Takenaka M, Hasegawa H, Yoshida H, Ordering Cylindrical Microdomains for Binary Blends of Block Copolymers with Graphoepitaxy, Macromol. Rapid. Commun. 28, 2137 (2007).

[14] J. Chai, J. M. Buriak, Using Cylindrical Domains of Block Copolymers To Self-Assemble and Align Metallic Nanowires, ACS Nano, 2, 489 (2008).

[15] I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, K. K. Berggren, Graphoepitaxy of Self-Assembled Block, Science, 321 939 (2008).

[16] L. Rockford, Y. Liu, P. Mansky, T. P. Russell, M. Yoon, S. G. J. Mochrie, Polymers on Nanoperiodic, Heterogeneous Surfaces, Phys. Rev. Lett., 82: 2602 (1999).

[17] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J dePablo, P. F. Nealey, Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates, Nature, 424, 411 (2003).

[18] E. W. Edwards, M. Müller, M. P. Stoykovich, H. H. Solak, J. J. de Pablo, P. F. Nealey, Dimensions and Shapes of Block Copolymer Domains Assembled on Lithographically Defined Chemically Patterned Substrates, Macromolecules, 40, 90 (2007).

[19] M. P. Stoykovich, M. Müller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F. Nealey, Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures, Science 308, 1442 (2005).

[20] J. Y. Cheng, C. T. Rettner, D. P. Sanders, H. Kim, W. D. Hinsberg, Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers, Adv. Mater., 20, 3155 (2008).

[21] E. W. Edwards, M. P. Stoykovich, H. H. Solak, P. F. Nealey, Long-Range Order and Orientation of Cylinder-Forming Block Copolymers on Chemically Nanopatterned Striped Surfaces, Macromolecules, 39, 3598 (2006).

[22] S. Xiao, X. Yang, S. Park, D. Weller, T. P. Russell, A Novel Approach to Addressable 4 Teradot/in.2 Patterned Media, Adv. Mater., 21, 1 (2009).

Page 89: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

89

[23] K. C. Daoulas, M. Müller, M. P. Stoykovich, H. Kang, J. J. de Pablo, P. F. Nealey, Directed Copolymer Assembly on Chemical Substrate Patterns: A Phenomenological and Single-Chain-in-Mean-Field Simulations Study of the Influence of Roughness in the Substrate Pattern, Langmuir, 24, 1284 (2008).

[24] A. W. Bosse, E. K. Lin, R. L. Jones, A. Karim, Interfacial fluctuations in an ideal block copolymer resist, Soft Matter, 5, 4266 (2009)

[25] M. P. Stoykovich, K. C. Daoulas, M. Müller, H. Kang, J. J. de Pablo, P. F. Nealey, Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films, Macromolecules 43, 2334 (2010).

[26] R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Alberecht, J. J. de Pablo, P. F. Nealey, Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, Science, 321, 936 (2008).

[27] Y. Tada, S. Akasaka, H. Yoshida, H. Hasegawa, E. Dobisz, D. S. Kercher, M. Takanaka, Directed Self-Assembly of Diblock Copolymer Thin Films on Chemically-Patterned Substrates for Defect-Free Nano-Patterning, Macromolecules, 41: 9267 (2008).

[28] Y. Tada, S. Akasaka, M. Takenaka, H. Yoshida, R. Ruiz, E. Dobisz, H. Hasegawa, Nine-fold density multiplication of hcp lattice pattern by directed self-assembly of block copolymer, Polymer, 50, 9267 (2009).

[29] L. Wan, X. Yang, Directed Self-Assembly of Cylinder-Forming Block Copolymers: Prepatterning Effect on Pattern Quality and Density Multiplication Factor, Langmuir, 25, 12408 (2009).

[30] X. Yang, L. Wan, S. Xiao, Y. Xu, D. Weller, Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch2 and Beyond, ACS Nano, 3, 1844 (2009).

[31] International Technology Roadmap for Semicondctors 2011 Ed., Lithography, http://www.itrs.net/Links/2011ITRS/2011Chapters/2011Lithography.pdf

[32] T. R. Albrehite, O. Hellwing, R. Ruiz, M. E. Schabes, B. D. Tereris, X. Z. Wu in “Nanoscale Magnetic Materials and Applications” Eds. J. P. Liu, E. Fullerton, O. Gutfleish, D. J. Sellmyer, Springer, Chapter 9, 237 (2009)

[33] D. Sanders, J Cheng, C. T. Rettner, W. D. Hinsberg, H.-C. Kim, H. Trung, A. Fritz, S. Harrer, S. Holmes, M. Colburn, “Integration of Directed Self-Assembly with 193nm lithography”, J. of Photopolymer Science and Tech, 23, 11 (2010).

[34] C. Bencher, J. Smith, L. Miao, C. Cai, Y. Chen, J. Y. Cheng, D. P. Sanders, M. Tjio, H. D. Truong, S. Holmes, W. D. Hinsberg, “Self-Assembly Patterning for sub-15nm half-pitch”, SPIE 7970-14 (2011).

[35] M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C-C. Liu, J. J. de Pablo, M. Müller, P. F. Nealey, Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries, ACS Nano, 1, 168 (2007).

[36] G. Liu, C. S. Thomas, G. S. W. Craig, P. F. Nealey, Integration of Density Multiplication in the Formation of Device-Oriented Structures by Directed Assembly of Block

Page 90: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

90

Copolymer–Homopolymer Blends, Adv. Functional Mater., 20, 1251 (2010).

5-6 Computational Lithography (計算機リソグラフィ)

5-6-1 始めに

デバイスの微細化の要求により、光リソグラフィの革新は急速に進んできたが、既にその

解像度は限界に近く、次の EUV リソグラフィ(EUVL)の早期実現が強く望まれている。しかしな

がら、EUVL の実用化は、半導体産業始まって以来の革命的大変革で、容易には実現できな

い 。 次 の EUVL の 時 代 へ の 橋 渡 し と し て 、 計 算 機 リ ソ グ ラ フ ィ (CL;Computational

Lithography)による光リソグラフィの実用解像度の向上が強く要求されるようになっている 1), 2),

3)。

図 2-5-21 は計算機リソグラフィの狭い意味での定義である。 それはリターゲット(Retarget),

ソ ー ス マ ス ク 適 化 (SMO; Source Mask Optimization), マ ル チ パ タ ー ニ ン グ (MP;

Multi-Patterning), 光近接効果補正(OPC; Optical Proximity Correction), サブレゾルーション

アシストフィーチャ(SRAF; Sub-Resolution Assist Feature), マスクルールチェク(MRC;Mask

Rule Check), 検証(Verification), マスクテータプロセシング(MDP; Mask Data Processing) の

一連のデータ処理からなる。

図 2-5-21 計算機リソグラフィの定義

Figure 2-5-21 Definition of of Computational Lithography

Hp130nm 以降、微細化の要求とともに、強い像解像度増強技術 (RET; Resolution

Enhanced Technology)や強い OPC 及びその関連技術が次々と光リソグラフィに導入された。

Hp32/22nm, TN22/15nm 以降では、ダブルパターニング(DP)、HP20/15nm、TN15/11nmw で

はトリブルパターニングあるいはクォドラパターニングが必要になる。 このように複数回のプロ

セスでパターニングする技術は総称してマルチパターニング(MP)と呼ばれている 4)。

5-6-2 SMO

Verifi-cation

Fab/FoundryDesign/Fabless

MDP MRCOPC

SRAFSMOMP

Lithography /wafer processing

Mask makingRET/OPC

Retarget

design

Scanner MatchingScanner Tuning

計算機リソグラフィ(Computational Lithography)

Page 91: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

91

GDS 形式の設計データがテープアウトされると、まずスキャナーのソースが決定される。

かつての SMO はマスクとソースの 適化を逐次的に処理していたが、精度の点で限界に来て

いた。 近の SMO では図 2-5-22 に示すように、精度の向上のためにソースとマスクの同時

適化を行っている 5),6)。 しかし、SMO 処理には極めて長時間が必要で、チップパターン全

体に対し、SMO 処理を施せない。そこで GDS データから小領域のクリップパターンをサンプリ

ングする。 このサンプリングパターを用いて、マスクとソースの 適化が行われ、 適なソース

とマスクが得られる。 CD 寸法はレジストモデルの影響を受けるが、この段階では精度の高い

レジストモデルは存在しない。 それ故、光学像のみあるいは仮定を置いたレジストモデルを

用いて SMO 処理を行う。 しかし、処理結果は従来の方法に比較し良好である。ソースが決

定されると、このソースを用い、マスク全面に対するパターンの 適化が行われる。まず SRAF

パターンが決定され、続いて、OPC 処理が行われ、マスクパターンの 適化が終了する。

後に検証が行われ、ホットスポットが発見された場合は、前の工程にフードバックされる。設計

データは検証段階をパスしてマスク製造工程に移される。

図 2-5-22 SMO の概略

Figure 2-5-22 Outline of SMO

図 2-5-23 に示すように、従来、ソースは回折光学素子(DOE; Diffractive Optical Element)

で形成していた。 近、プログラマブル照明システム(Programmable illumination system,

FlexRayTM )が開発され、計算機制御により、瞬時に任意のソース形状を形成することができる

ようになった 7)。 そのため、計算機リソグラフィとスキャナーのインテグレーションが急速に進ん

だ 8)。

SMO

Simultaneous co-optimization of mask and source for given

input design.

SourceClip

+

Clip

Clip

SRA

MB-SRAF PW-OPC PW -Verification

Simultaneousco-optimization

SMO

SMO

Full ChipClip

Page 92: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

92

図 2-5-23 従来の DOE 照明系と 近開発された FlexRayTM による任意形状照明系。

Fugure2-5-23 Conventional DOE illuminator and freeform source

produced by FlexRayTM. 7).

図 2-5-24 は FlexRay で作成した Free Form ソースと、従来の DOE で作成した cQuad ソ

ースの比較である 9)。パターンは 22nm のコンタクトを 2 層に分割したものである。 Free Form

の場合の方が DOF(Depth of Focus) が大きく、かつ MEEF( mask error enhancement factor)が

小さく、望ましい結果となる。

図 2-5-24 FlexRay で作成した光源と DOE 光源によるプロセスウィンドウと

MEEF の比較

Figure 2-5-24 Comparison between Free Form source created by FlexRay

DOE B DOE A

DOE illuminator FlexRay™ programmable illuminator

1. Change DOE2. Move Zoom Lens3. Move Axicon Micro mirrors

2 axis tilt

DOE B DOE ADOE BDOE B DOE ADOE A

DOE illuminator FlexRay™ programmable illuminator

1. Change DOE2. Move Zoom Lens3. Move Axicon Micro mirrors

2 axis tilt

Page 93: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

93

and cQuad source generated by DOE.

DOF is larger and MEEF is smaller in Free Form source than those in cQuad source9).

図 2-5-25 はソースの 適化のフローである 10)。 通常のパターンにおいては、50-1000 の

クリップターンが存在する。 しかし、コスト的に許容される計算機処理量から、SMO 処理に使

うクリップの数は 15-50 程度に抑えたい。 数多いクリップパターンから数少ない代表的なクリッ

プパターンを選択するために、似たような回折パターンを持つクリップはひとまとめにし、クリッ

プ総数の絞り込みを行っている。 このような方法で大量のクリップを数少ない代表的クリップ

で置き換えて SMO を実行し、処理時間を現実的にしている。(A);まず、15-50 のサンプルクリッ

プを選択し、(B);SMO を実施する。 (C);この処理で得られた 適光源を用い、50-1000 のす

べてのクリップに対し、MB-SRAF/MB-OPC 処理を行い、(D);(C)の結果は SMO 処理で得られ

たリソグラフィ性能結果と比較され、(E); (C)の結果にホットスポットやウォームスポットがあるな

らば、それらを生むクリップパターンを加えて SMO をやり直す。

図 2-5-25 フルチップ SMO のフロー

Figure 2-5-25 Flow of full-chip SMO

すべてのクリップに対し、D の比較が合格したなら、この光源が SMO で出力される 適光源

である。 課題は SMO の処理は大変に重いので、デバイス設計に使うクリップパターンの数を

可能な限り減らすことが有効である 11)。 このために、設計パターンをできる限り単純にする

RDR(Restrict Design Rule)の採用がコスト低減に有効である。

TachyonSMO

Optimized source Verification(Tachyon LMC)

NoYes NoLitho PerformanceOK?

MB-SRAF OPC(Tachyon OPC+)

Verification(Tachyon LMC)

Full set of Clips(50-1000)

Litho PerformanceOK?

Litho Performancecomparable?

Qualified SourceFor Full Chip (SRAF & OPC)

Yes

ExtractHotspots

No

Add hotspotsto increase

pattern coverage

Subset of Clips(15-50)

Full Set of Clips(50-1000)

Pattern SelectionAlgorithms

Test patterns LogicMemory A B

C

D

F

E

Page 94: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

94

5-6-3 OPC と SRAF

TN65~45nm 以下では、従来用いられてきたエッジベースのモデルよりも、イメージベース

のモデルを用いたほうが光学計算は早い。イメージベースでは、 終的なレジストバーン像は

マスクモデル、光学モデル、レジストモデル、エッチングモデルの畳み込み計算で与えられる。

従って、光学モデルのみを他のモデルと分離できる 12)。 図 2-5-26 に示すように、イメージベ

ースを採用する Brion 社の Tachyon モデルでは、光学像がパターンの位置 x,y, スキャナーの

フォーカス F、露光量 E により与えられる(FEM モデル; Focus Exposure Matrix モデル)。 従

って、フォーカス エクスポウジャ空間(FE 空間)の数点で校正すれば、任意の(F,E)点の空間

像が求められ、FE 空間の上で光学像の予測が容易にできる。 これに反し、従来のエッジベ

ースのモデルでは、マスク、スキャナー、レジスト、エッチングモデルが分離されておらず、光

学条件(F,E)点ごとに、別のモデルが対応する。従って、(F,E)点ごとに校正が必要であり、任意

の FE 空間上の光学像を予測するためには、多大な時間が必要となる。

図 2-5-26; イメージベース法における Focus Exposure Matrix (FEM) モデルと従来のエッ

ジベース法における Model。 FEM モデルでは FE 空間の数点で校正すれば、他の意

の(F,E)点で光学像が得られる。 従来の Model では(F,E)点ごとに別なモデルが存在し、

任意の(F,E)点での像の予測はできない。

Figure 2-5-26; Focus Exposure Matrix (FEM) model for image based method and

image model for conventional edge based method. Aerial image at any point of

(F,E) is easily obtained by FEM model. However, calibration is necessary for at

every point of (F,E) for edge based model. After all, it takes long time to obtain

images at multi-points.

FEM モデルを用いればプロセスウィンドウ考慮 OPC(PW-OPC)が可能となる 13)。図 2-5-27

Sample Calibrate Generate

MF1,E1=MF1,E1 (x, y)

4 5

32

any (F, E)E

F

-

+

Tachyon

1Calibration at

FE Space

E

F

-

+

M=M (x, y, F, E)

Focus Exposure Model

Calibrationat F1,E1

Calibrationat F2,E2

Calibrationat Fn,En

MF2,E2=MF2,E2 (x, y)

MF2,E2=MF2,E2 (x, y)

Conventional

(F1,E1)

(F2,E2)

(Fn,En)

CD-SEM

CD-SEM Process Window

Page 95: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

95

は通常のノミナル点の上での OPC 及び PW-OPC の比較の例である 14)。 通常のノミナル点で

の OPC では、100nmのデフォーカスでホットスポットが生じているが、PW-OPC ではそれがない。

PW-OPC はノミナルポイント OPC よりプロセスウィドウが広い。 計算時間を短縮し、コストを下

げるために、できる限り少ない(F,E)点で FEM モデルの校正を行い、OPC を実行することが求

められる。その一方、検証においては、ホットスポットの捕捉率を上げるために、補正よりも多数

の(F,E)点での校正を行い、FEM の精度を上げることが求められる。

図 2-5-27; 通常の OPC と PW-OPC の比較。

Figure 2-5-27; Comparison between conventional nominal point OPC and PW- OPC14).

結像性能を上げるために、TN65/45nm 以降では RB-SRAF(ルールベース SRAF)が使われ

ている。しかし 32nm 以降に対しては、SRAF の性能をさらに上げ.る必要があることと、

RB-SRAF のルール作成の負荷が重荷になってきたために、MB-SRAF が使用され始めてい

る 15) 。図 2-5-28 は MB-SRAF と MB-OPC によるマスクの 適化の例を示している。 まず、ど

こに SRAF パターンを配置したらよいかを 示す SRAF Guidance Map(SGM)を求める 16)。次に

SGM に従い、SRAF パターンを配置する。続いて、マスク製作・検査の上からの制限要求を満

たすか否かを調べるために Mask Rule Check(MRC)を行う。MRC に背反する SRAF パターン

は排除、修正される。 後に SRAF パターンを除いた本体パターンに OPC 処理を行う。

図 2-5-28 MB-SRAF と MB-OPC によるマスクの 適化

OPC is applied only to the main target patterns

OPC is applied only to the main target patterns

Run clean-up algorithm to satisfy MRC constraints

Run clean-up algorithm to satisfy MRC constraints

Place scattering bars at the optimum positions of the map

Place scattering bars at the optimum positions of the map

Calculate the two dimensional cost function map

Conventional OPC

Conventional OPC fails at 100 nm defocus

Line is broken

Process Widow Aware OPC

Tachyon OPC+ shows good image at 100 nm defocus

Blu

e =

0 nm

def

ocus

Red

= 1

00 n

m d

efoc

us

Page 96: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

96

Figure 2-5-28 Mask Optimization by MB- SRAF and MB-OPC

図 2-5-29 RB-SRAF, Rectangle MB-SRAF, Free Form MB SRAF の DOF と計算処理

時間の比較。

Figure 2-5-29 Comparison of DOF and calculation time among RB-SRAF,

Rectangle MB-SRAF and Free Form MB- SRAF.

図 2-5-29 は RB-SRAF, 矩形形状 MB-SRAF, FF MB-SRAF に対する DOF と処理時間比

較である。Free Form(FF)で得られるような複雑な SRAF を用いれば DOF が拡大するが、その

一方で計算処理時間が長くなり、FF は現実的な解ではなくなる。 SRAF のタイプ理選択はコ

ストと性能のトレードオフとなる。

コストと性能の両者を満足する解として FMO が提案されている(図 2-5-30) 17)。 SMO で得

られた 適ソースを用い、 まず、Rectangle MB- SRAF と PW-OPC を用いて、マスクパターン

の概ねの 適化を行う。マスク全体を検証し、ホットスポットなど危険個所が現れたら、その危

険な領域のみ精度の高い FF SRAF で修正する。 このような方法により、計算処理時間を増

大させることなく、リソグラフィ性能を改良することができる。図 2-5-31 は MB-SRAF/OPC, FF

MB-SRAF/OPC, FMO について、相対的計算処理時間とマスクコストを比較したものである。

図から判るように、FMO は MB-SRAF/OPC に比較し、広い PW を持つ一方、その処理時間は

若干長く、マスクコストは若干高い程度で済む。また、FF MB-SRAF と比較すると計算機処理

時間は半分、マスクコストは 1/5 程度で済む。

14

12

10

8

6

4

2

00 20 40 60 80 100 120 140 160 180

Rectangle MB-SRAF Freeform MB-SRAFRule-based

Exp

osu

re L

atit

ud

e (%

)

Depth of Focus (nm)

Rule

RectangleFreeform

0

2

4

6

Re

lati

ve F

ull

-ch

ip

Co

mp

ute

Co

st

Rule Rectangle Freeform

Relative Mask Cost

10-25x

4X / 28N 22 / 20N and lower

8

Page 97: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

97

図 2-5-30 Flexible Chip Mask Optimization (FMO).

Figure 2-5-30 Flexible Chip Mask Optimization(FMO).

Turn

aro

un

d t

ime

(TA

T)

FMO with Freeform MB-SRAF

Rectangle MB-SRAF

Do

se

(%)

Depth of focus (nm)0

5

10

15

20

25

30

0

2

4

6

8

10

MB-SRAF FF SRAF FMO

LMCSRAF & OPCMask Cost

Relative m

ask co

st

図 2-5-31; MB-SRAF, FF MB-SRAF, FMO による相対的計算機処理時間と

相対的マスクコストの比較

Figure 2-5-31 Relative computational time and relative mask cost comparison

among MB-SRAF, FF MB-SRAF, and FMO

5-6-4 モデルの 3 次元化

微細化に伴い、マスクやレジストプロファイルの三次元モデルが必要になってきた 18)。マスク

については、マスクパターンの寸法が露光波長以下になってきており、マスクの三次元構造を

Page 98: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

98

考慮しないと、精度の高い光学計算ができなくなっている。厳密な三次元の電磁場計算は時

間がかかりすぎ、いかに簡単で精度の高い近似計算ができるかに成否がかかる。 図 2-5-32

は理想的な薄膜マスク(TM: Thin Mask)と現実の三次元形状を考慮したマスクの比較である。

マスク三次元構造のために、ベストフォーカスシフトが発生し、プロセスウィンドウが狭くなる 19)。

図 2-5-32; 薄膜マスク(緑)と三次元構造を考慮したマスク(赤)のプロセスウィンドウと Bossung

curve の比較

Figure 2-5-32; Process window and Bossung curve comparison between ideal thin mask

(TM) and real mask with 3 dimension structure. Red corresponds to thin film mask

and green 3D mask

20 nm SRAM DPT contact

SMO FlexRay source

Total process window reduced with OMOG mask due to best focus shift

Typical DPT SRAF/OP

Performancedegrades inreal world

0 2 4 6 8 10 12 14

Exposure Latitude (%)

0 20 40 60 80 100 120 140 160 180Defocus (nm)

Notes:

SMO for Source Optimization OPC for Mask Optimization FlexWave = SMO FlexWave M3D model for PW check

(TM = Thin Mask model) (M3D = 3D Mask model)

20 nm SRAM DPT contact OMOG mask

Typical DPT SRAF/OPC

TM SMO & OPC+

Thin Mask SMO & OPC+M3D OPC+

M3D OPC+

same

M3D SMO&OPC+

M3D SMO & OPC+M3D SMO, OPC+ & FlexWave

wavefront

+FlexWave

same

source

Page 99: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

99

図 2-5-33; M3D モデルを SMO, OPC に適用することでプロセスウィンドウが拡大する。

さらに、FlexWave(図 2-5-34)で Wave Front を補正した場合、プロセスウィンドウは拡大する。

パターンは右上図に示される。

Figure 2-5-33; PW is enlarged by application of M3D model to SMO and OPC. PW is

furthermore enlarged by correction of wave front aberrations with FlexWave (Fig. 2-5-34).

図 2-5-33 は 1)薄膜マスクモデルを SMO と OPC に適用した場合、2) 三次元マスクモデル

(M3D)を OPC に適用した場合、3)M3D を SMO と OPC に適用した場合、4) 3)に加えて、マス

クの三次元構造に起因する位相のエラーを FlexWave(後述)で補正した場合の比較を示す。

M3D モデルを適用することで、ベストフォカスシフトが補正され、その結果プロセスウィンドウは

改善する。 近、図 2-5-34 に示すように、マスクの三次元構造等により発生する位相誤差を補

正可能とするフレクシブルレンズ 素子、FlexWaveTM が開発された 20)。 M3D 起因のベストフ

ォーカスシフトに対し、FlexWave も効果的に働く光学素子の一つである。

図 2-5-34; フレクシブルレンズ素子、FlexWave。FlexWave は小領域ごとに屈折率を変え、

wave front を補正する

Figure 2-5-34; Flexible lens element, FlexWave. Element is divided into small areas

where refractive index is changed to control wave front.

また、パターンが微細になるとともに、三次元レジストプロファイル(R3D)を考慮する必要が出

てきた 21)。従来は垂直断面のレジストプロファイルを仮定し、レジストトップとレジストボトムの寸

法は同一としたモデルを使用してきた。 しかし、実際にはレジスト断面は角度を持っており、

SRAF パターン等微細なパターンは高さ方向に膜べりを起こす一方で、大きめのパターンは高

さが保持される。 レジスト寸法の CD 測長値はレジストボトム寸法に近く、エッチング後の CD

FlexWave - element

Inducedaberrations

reticle

wafer

Programmed wave front offset

wave frontafter correction with FlexWave

wave front without

correction

Corrected aberrations

Page 100: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

100

測長値はレジストトップ寸法に近い。図 2-5-35 左図に示すように、特に defocus 条件の時にエ

ッチング後の CD はレジスト CD に比較し縮小している。図 2-5-35 右図では、レジストボトムで

はホットスポットが見られないが、レジストトップではホットスポットが出現する。 レジスト像では

検出されないホットスポットが、ポストエッチで検出される場合があることを示している。

図 2-5-35 左図; レジストパターン寸法とエッチング後のパターン寸法のフォーカス依存性。

右図;レジストボトム CD とレジストトップ CD の場合の Contour 比較。

Figure 2-5-35 Left; Focus dependence of resist pattern CD and etched pattern CD.

Right; Verification result using top resist CD (Black) and bottom resist CD (Blue).

図 2-5-36 R3D を考慮しない場合とした場合の SMO 結果。 考慮しない場合はデフォーカ

ス 40nm で激しいレジストトップロスが予測される。R3D を考慮した場合は、レジストプロファイ

ルを改善できるソースを得ることができる。

Figure 2-5-36 SMO result without and with R3D. Resist top loss is forecasted in case

without R3D, however, resist top loss is not forecasted in case with R3D. Using R3D

model (optimizing the top and bottom contours) in SMO enables a source which improves

CD profile across process window

BlackRedBlue

TopMidBot

40

50

60

70

80

90

100

110

-80 -60 -40 -20 0 20 40 60 80

Focus (nm)

CD

(nm

)

Resist

Etch

Resist CD

Etch CD

CD SEM Measurements

SMO without R3D model

NC F = -40 nm

SMO with R3D model

NC F = -40 nm

Top loss

Bottom contour(85 nm from top)

Good profile Top loss

Top contour(25 nm from top)

Page 101: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

101

図 2-5-36 は R3D の SMO への応用である。左図のように R3D モデルを使わなければ、激

しいレジストトップロスが生じるが、右図に示すように、R3D モデルを使えばソースを 適化し、

レジストトップ及びレジストボトム寸法を近づけることができる。R3Dモデルを用いることでPWを

広げることができる。R3D は厳密なホットスポット検出が必要な検証に有効である。

5-6-5 マルチパターニング

Hp32nm, TN22nm 以降では、通常の露光では ArFi の限界解像力を超えるので、ダブル

パターニング(DP)が概ね必要になる。 DP としては、パターン分割が必要な

Litho-Etch-Litho-Etch (LELE)と Litho-Process-Litho-Etch (LPLE) 22) 並びにリソグラフィで形成

したMandrelの側壁にスベーサパターンを形成するSADP(Self Aligned Double Patterning) の

開発が主流となっている。 図2-5-37に示すように、LELEではパターニングを二回に分割して

形成し、 終的に一つのパターンとして統合する。 第一回目のパターニングのオーバレイ誤

差と、第二回目のパターニングのオーバレイ誤差が積積み重なってて 終的パターンに現れ

るので、超高精度のオーバレイ精度が必要となる。スキャナーを始めとし、メトロロジー、マスク

等に、現状の実績を超えた高精度化が要求される。

図 2-5-37 LELE のフロー。正確なパターンの接続のためにモデルベース接続技術が必要

となる

Figure 2-5-37 Flow of LELE。 Overlay aware Model Based stitching is necessary for

accurate pattern formation.

1st Mask

Overlay Aware Model Based Stitching

Target layout

Model OPC

Split 2

ProcessingLitho/etch

Final contour

Exposure 1

2nd Mask

Exposure 2

Spit 1

Spit 2

combined patterns from exposures

FrequencyDouble

Layer AwareColoring

1st Mask

Overlay Aware Model Based Stitching

Target layout

Model OPC

Split 2

ProcessingLitho/etch

Final contour

Exposure 1

2nd Mask

Exposure 2

Spit 1

Spit 2

combined patterns from exposures

FrequencyDouble

Layer AwareColoring

Overlay Aware Model Based Stitching

Target layout

Model OPC

Split 2

ProcessingLitho/etch

Final contour

Exposure 1

2nd Mask

Exposure 2

Spit 1

Spit 2

combined patterns from exposures

FrequencyDouble

Layer AwareColoring

Page 102: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

102

さらなる微細化には、トリプルパターニング 23,24)やクォドラパターニング 25)が有効である。 図

2-5-38 は TN14nm 対応の第一メタル層(M1 メタル)へのパターンスプリプト型の応用である。ダ

ブルパターニングでは対応できず、トリプルパターニングが必要になる。 また、図から判るよう

にパターンの接続が必要である。 接続は線幅の大きなパターンで行うことが有利である。 微

細なパターンで接続を行う時には、精度をキープするうえで、モデルベースの接続 (図 2-5-37

を参照) が有効である。

図 2-5-38 14nm M1 層に対するトリプルパーニングの適用

Figure 2-5-38 Triple pattern application to 14nm M1 layer.

図 2-5-39 はもう一つの DP 方式のスベーサタイプの SADP(Self Aligned Double Patterning)

のフローである。 この場合、Mandrel パターンの側壁にスペーサパターンを形成し、それを利

用してより微細なパターンを形成するので、オーバレイ精度の問題が小さい。また、線幅がリソ

グラフィの解像能力ではなく、エピタキシャル技術などで堆積して形成されるスベーサの厚み

で決定される。 しかし、この方式ではマスクパターンとシリコンウェーハ上に形成されるパター

ンが異なるため、レイアウト設計が直観的に行えない。 さらに微細化を目指して、クォドラプル

パターン(SAQP; Self Aligned Quadruple Patterning)が開発されているが、Flash Memory のよ

うな簡単なパターンに対しては有効であるものの、ロジックや DRAM に対してはレイアウト設計

が難しい。

Color 1

Color 2

Color 3

Stitching

Page 103: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

103

図 2-5-39 スベーサタイプの SADP(Self Aligned Double Patterning)のプロセスフロー。

Mandrel pattern と Final pattern が異なり、設計パターンからウェーハパターンを直観的にとら

えることが難しい 26)。

Figure 2-5-39 Example of spacer type SADP (Self Aligned Double Patterning) process

flow. It is difficult to predict wafer image from mask pattern, because both patterns are

different26).

図 2-5-40 設計パターンとウェーハイメージが一致する SADP の

レイアウト設計手法 26)

Figure 2-5-40 SADP layout design where mask image is the same with that of

wafer image.

そこで、 近は、SADP/SAQP に対するレイアウトの設計の一般的な手法の開発が行われて

いる。図 2-5-40 はその例で、マスクパターンとウェーハイメージが一致するような SADP の設計

手法である 26)。このような設計手法が有効ならば、容易に SADP/SAQD のレイアウト設計が可

能となり、その応用はメモリ以外にさらに広がる。

先端においては、フラッシュメモリの開発段階で SAQP がすでに使われている。 ロジッ

Page 104: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

104

ク系においては 2015-2016 年に量産段階に入る TN11nm に向け、マルチパターニングとカッ

ティングマスク(あるいはフィルマスク)の組み合わせによる方法の検討が進んでいる 27)。 例と

して、アクティブ層に対しては、ライン&スペースパターンをまず形成し、後、カッティングマスク

により、 終パターンを形成する。カッティングパターンのピッチがスキャナーの解像限界を超

えているため、複数枚のカットマスクが必要となる。 他の層に対しては SADP と LELE で対応

する。

5-6-6 スキャナーの計算機制御

スキャナーのモデルが開発されたことと、計算機制御可能なスキャナーのコンポーネントが

開発されたことにより、スキャナー間の CD マッチング 28), 29)、あるいはスキャナーのチューニン

グ 30).が計算機制御の下に行えるようになってきた。 図 2-5-41 はスキャナーの像形成の補正

について、 近の技術革新を示している。

図2-5-41; 従来はマスク面を操作して、CDを制御していた。 近はハードウェア、

ソフトウェアの開発の進展により、マスク面以外にソース面(例;FlexRay)、

pupil 面(例;FlexWave)、ウェーハ面(例;DoseMapper31) )により

操作可能となる。

Figure 2-5-41; Conventionally, CD was corrected by mask pattern shape.

Recently, CD is corrected by scanner source plane (e.g. FlexRay),

pupil plane (e.g. FlexWave), wafer plane (e.g. DoseMapper31) )

in addition to mask plane.

InnovationConventional

OPC/SRAF

SMO

OPC/SRAF

SMLO

DoseMapper

FlexRay

FlexWave

Page 105: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

105

図 2-5-42; XT:1700i に対する XT:1900i の CD マッチング結果 32)

Figure 2-5-42; CD matching of XT:1900i to XT:1700i.

これにより、従来は CD の補正はマスクパターンを通してのみ可能であったが、 近はマス

クパターンに加えて、σ、NA, ソース、フォーカスレンジ、dose のノブを操作して、マスク製作

後であっても CD の補正が可能となった。 図 2-5-42 は XT1700i に対し、XT1900i を CD マッ

チングさせた結果の例である 32)。 σ、NA,フォーカスをノブとして CD マッチングを図った場合

は、CD マッチングを行わない場合に比較し、その精度は改善するものの、仕様値は達成でき

ない。 σ、NA,フォーカスに加えて Free form DOE あるいは FlexRay をノブに用いた場合、

CD マッチング精度は更に改善され、仕様値を達成できる。 しかし、この場合は、CD マッチン

グ精度はパターン依存性がある。 これらノブに付け加えて FlexWave をもちいれば、パターン

に依存しない CD マッチング精度が得られる可能性がある。

パターンの微細化とともに、FlexRay で発生される二重極照明のように、極端なオフアクシス

照明が用いられる。このような照明では、レンズが等方的にエネルギーを受けられず、スキャナ

ーを使用している間に時間とともに局部的に加熱される。 その結果、いわゆるレンズヒーティ

ング効果が生じる。 従来は、実際のレチクルをスキャナーに搭載し、レンズヒーティング効果

を校正し、スキャナーにフィードフォアードしていた(ASCAL; 図 2-5-43 参照) 。 ところでレン

ズヒーティング効果の校正には、レチクル一枚当たり、1.5 時間のマシーンタイムが必要になる。

スキャナーの価額は極めて高いことから、スキャナーのマシーンタイムを不要とする校正法が

求められていた。

近、ソフトウェアによるレンズヒーティング効果校正ツールが開発され(cASCAL)、図

2-5-44 に示すように、レチクルパターン及び他の条件を Tachyon に入力すれば、レンズヒーテ

ィング効果の校正が可能となった 33)。 レンズヒーティング効果の校正がレチクルとマシーンタ

Quasar DOE FlexRay

XT:1900i to XT:1700i matching

0

1

2

3

4

5

PreMatch PMFC result 1(σ+NA+Focus

Range)

PMFC result 2(σ+NA+Focus

Range)

Freeform DOE(source tuning

only)

FlexRay Pupil(source tuning

only)

FlexWave tuning(Z6 aberration

tuning)

Sc

an

ne

r M

ism

atc

h (

a.u

)

SRAM

Through Pitch

Spec

FlexWave

Pattern-dependentsolutions

Through Pitch

Constrained

SRAM Constrained

Potentially a non-pattern-specific

solution

Page 106: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

106

イム抜きで可能となる結果、スキャナーの生産性はロジックで約 6%, メモリで約 0.7%改善され

る。 図 2-5-44 はレンズヒーティング効果の補正を行わなかった場合、ASCAL で補正を行った

場合、及び cASCAL で補正を行った場合の比較である。Zernike 項のドリフト、Best Focus のド

リフト, CD のドリフトが cASCAL による補正でもっともともよく改善される。

Negative Tone Development (NTD) Process は、レジストコントラストを改善し, コンタクト層あ

るいはメタルトレンチ層に対し、極めて有力なレジストプロセス技術と考えられている。 従来、

コンタクト層にはダークフィールドマスクが使用され、かなりの光がマスクで吸収され、レンズに

は一部の光のみが到達していた。ところが、NTD プロセスでは、マスクのポジ・ネガが反転し、

かなりの光がマスクを通過し、レンズに到達するようになる。従って、NTD プロセスではレンズヒ

ーティングの効果がはなはだ大きくなることが予測され、その解決に向けた開発が喫緊の課題

となっている 34)。

図 2-5-43 レンズヒーティング効果の校正/補正法の概念。 従来は実際のレチクルをスキャ

ナーに搭載し、レンズヒーティング効果の校正(ASCAL)と補正を行っていた。 近、スキャナ

ーのモデルの開発が進み、レチクルパターンと他の条件を入力すれば計算機処理により、レ

ンズヒーティング効果の校正が可能となり(cASCAL)、その結果得られる補正パラメータがスキ

ャナーにフィードフォワードされ、レンズヒーティング効果が補正される。Figure 2-5-43

Concept of lens heating calibration and correction. Conventional way: In order to

calibrate lens heating effect, actual reticle is equipped with scanner (ASCAL), and result

in a set of feed forward parameters to drive the different lens heating correction

manipulators. New method; Using lens heating model, reticle pattern, and other

condition, lens heating effect is calibrated without real reticle and scanner machine time

(cASCAL), and followed by correcting lens heating effect.

ASCAL • Reticle required• Overhead: 1.5hr scanner

calibration per reticle

ASCAL

cASCAL• Reticle not required• No scanner calibration• Free of measurement noise and drift

cASCAL

Mask Data Prep

ASCAL = Application Specific Calibration

LHFF = Lens Heating Feed Forward

Lens heating model LHFF

LHFF

Page 107: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

107

図 2-5-44 レンズヒーティング効果と ASCAL 及び cASCAL による校正とその出力による補正

結果。左上図はレンズヒーティングによる Zernike 項のドリフト及びその補正後の結果、右上図

はレンズヒーティングによる Best Focus ドリフト及び補正後の結果、下図はレンズヒーティング

によるスルーピッチパターンの CD ドリフト及び補正後の結果。

Figure 2-5-44 Lens heating effect and its corrected result by ASCAL and cASCAL. Left

upper figure; Zernike term drift and result after correction by ASCAL/ALHC and

cASCAL Right upper figure; Best focus drift induced by lens heating and results after

correction by ASCAL and cASCAL. Lower figure; CD drift of through pitch pattern by

lens heating effect and corrected data by ASCAL and cASCAL.

5-6-7 計算機リソグラフィと設計及びプロセス技術とのインテグレーション

計算機リソグラフィと上流の設計、及び下流のプロセス、メトロロジーとのインテグレーション

が進められている(図 2-5-45)。 計算機リソグラフィとスキャナーのインテグレーションについて

は前述した。

-6

-4

-2

0

2

4

6

8

10

12

Z5

Z6

Z7

Z8

Z9

Z1

0

Z1

1

Z1

2

Z1

3

Z1

4

Z1

5

Z1

6

Z1

7

Z1

8

Z1

9

Z2

0

Z2

1

Z2

2

Z2

3

Z2

4

Z2

5

BaslineASCALcASCAL

0

10

20

30

40

No LHcalibration

ASCAL cASCAL

Customer A

Customer C

Customer BNXT:1950i, freeform source, 2x node NXT:1950i, x-dipole, 2x nm node

XT:1950i, freeform source, 2x nm node

Be

st F

oc

us

Ran

ge

(n

m)

Co

eff

icie

nt

(nm

)

Zernike

0

2

4

6

8

10

12

80 100 140 160 180 200 240 280 400 450 600 800 1000

No CorrectionASCALcASCAL

CD

dri

ft a

cro

ss lo

t @

sli

t c

ente

r (n

m)

Pitch

Page 108: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

108

図 2-5-45 計算機リソグラフィと設計、プロセスの統合

Figure 2-5-45 Integration of Computational Lithography, design and process.

計算機リソグラフィの発展とともにメトロロジーのスループット短縮と高精度化が重要となる。

従来、メトロロジーツールとしては CD-SEM が使われてきたがスループットが低く、ノイズが多

いために、代替の装置が探索されている。スキャタメトリー(Scattermetory; 光波散乱計測)

による方法は、下地の影響を受けやすい、アライメントマークが大型である、孤立バターンは計

測出来ないなど、使用法が限定されているものの、スループットの速さと測定精度の高さから、

近注目が集まっている 35)。計算機リソグラフィ、スキャナー、メトロロジーを有機的につなげる

概念として、Holistic lithography が ASML から提案されている 36)。

マスクについては、四倍体なので200nm以下のパターンは使われなかったが、 近はマスク

上 200nm 以下のパターンも必須になってきた。しかし、マスク固有の近接効果やローディング

効果などがあり、マスク上 200nm 以下でマスク寸法リニアリティが劣化する問題があり 37)、計算

機リソグラフィとの統合した解法が求められている。マスクの CD エラーは他の要因より大きく、

今後大きな問題となる。このような状況の下、系統的マスク CDエラーマップを測定し、その測定

値を考慮した検証が行われ、有効性が確認されている 38)。また、マスクモデルが開発され、マ

スクモデルを組み込んだ OPC あるいは検証ツールの評価が行われている。

マスクの欠陥検査においては、マスクの欠陥がそのままウェーハ上で欠陥とはならず、逆に

マスク上で問題なくともウェーハ上で欠陥となる場合がある。この解決のために、欠陥検査装

置で取り込んだマスク像を検査装置の光学モデルを使って逆変換してマスク像を求め、その

マスク像にスキャナーモデルを畳み込み、マスクの欠陥をウェーハ上で判断する方法が開発

されている 39)。このような方法によらなければ SRAF パターンなどの転写性チェックは困難にな

っている。光リソグラフィとマスクの統合による、光リソグラフィのさらなる微細化対応が追求され

ている 40)。

Page 109: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

109

光リソグラフィにおいては、様々な duty 比の L&S パターンを同時に高精度で形成するのは

困難である。 様々な duty 比の L&S パターンの使用はできるだけ避け、duty 比は例えば 1:3

のみに限定する等の努力が払われている。 また、二次元パターンは精度が出にくいので、一

次元パターンを用いる。 X,Y 両方向を同時に高精度パターン形成できないので、一方向に

絞る等が行われている 2)。 図 2-5-46 はパターンを単純化する RDR(Restricted Design Rule

の例である。 複雑な Original パターンを簡単なパターンで置き換えている。このような簡単な

パターンではプロセスウィンドウを広げることができ、マスクの MEEF も低減できる。また、RDR

により、MP の適用が容易になる。

図 2-5-46 左図: TELA 社による RDR の例。バターンは 45nm ロジック。右図:従来の設計

によるレイアウト図

Figure 2-5-46 Left Figure: RDR Example by TELA Inc. 45nm Logic pattern.

Right Figure Original layout.

5-6-8 EUV

マルチパターニングによる微細化対応はコスト増大の問題が大きく、EUVLの実用化が求

められている41)。 EUVLにおいては、解像度が光リソグラフィより高く、大きなk1を用いることが

できる。 それゆえ、OPCは光リソグラフィよりも簡単になる。 しかし、フレアの取り扱いが課題

となる。 EUVでは、反射ミラーを複数枚使うが、短波長故に表面からの散乱が大きい。 各ミ

ラーはEUV光を散乱させ、フレアを発生させる。 表面の微小な凹凸の周期により、散乱の角

度分布が異なり、大角度から小角度までの様々なフレアが現れる。 また、フレアはスキャナー

の構造に依存性がある。図2-5-47に示すように、ミラー等による散乱で生じるオリジナルなフレ

アーはPSF(Point Spread Function )で表される。しかし、フレアは装置に組み込まれる

Page 110: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

110

図 2-5-47 フレアの説明図。Brion のフレアモデルは EUV スキャナーの構造と、

マスク構造を考慮。 フレアの影響はフィールド境界で二倍、

フィールドコーナーで 3 倍に拡大される。

Figure 2-5-47 Explanation of EUV flare. Original flare is deformed

by scanner exit aperture, reflection by BB, and effect by ReMa.

アパーチャとマスクの構造にも依存する。フレアは図2-5-47に示すようにアパーチャなどにより

一部は蹴られ、強度が低下するとともに、その形状が変形する。また、マスク周辺に配置される

反射防止層 Black Boader (BB) による反射防止は完全ではなく、多少の反射が生じる。 低

減したBBからの反射光はReMaで遮蔽されるが、完全にはEUV光をカットできず、隣のフィー

ルドを弱く露光してしまう。 装置及びマスクの構造による効果が加わって、 終的なフレアが

形成される。 フレアによる影響はフィールドからにじむような形で現れ、フィールド境界で二倍、

フィールドのコーナーで3倍に拡大される42)。

EUVマスクは、EUV光を反射する多層膜の上にEUV光を吸収する重金属のパターンから

構成される。 重金属のパターンは厚みがあり、この厚みの影により露光量が変化しCDに影響

する(Shadowing 効果) 43) 。Shadowing 効果はルールベースによる方法で補正できるが、微

細化が進むとモデルベースによる補正が要求される。 以上のように、EUVではフレア、OPC,

Shadowing 効果の3つの補正が必要である。 図2-5-48にEUVの補正のコンセプトを示す。

• Effective field dependent flare for an isolated field (simulated)

• Mask black border (BB) EUV reflection and field to field interactions

Neighbour field

Brion’s NXE:3100 based implementation

Typical PSF implementation

EUVbeam

Wafer

exit aperture

Simulated multiple-fieldflare maps

field to field reflections at

the edges

3x field to field reflections in the corners

Neighboring shot

BB

Half shadow of ReMa

ReMaBB reflection

DGL

Current scanning field

Page 111: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

111

図 2-5-48 EUVL におけるパターンの補正。フレア、近接効果、マスクシャドウイングの

三つの補正が必要となる

Figure 2-5-48 Pattern correction in EUVL. Flare correction, Proximity effect

correction and mask shadowing correction are necessary.

パターン間の相互作用の距離は光リソグラフィの場合1.5um程度であるが、EUVの場合、フ

レアの存在によりcmのオーダにもおよぶ。 それ故、広大な領域の中で、多数のパターン間の

相互作用が発生し、それによるCDの変動を補正する必要が生じる。 それはデバイスパター

ンの持つ階層を利用した高速計算処理を困難とし、その処理の長時間化とデータサイズの膨

張をもたらす。 近、高速計算処理法及びハードウェアによるフレアの低減等の開発により、

高速計算の対応が進みつつある。 図2-5-49はGDSパターンからEUVLのレジスト像を求める

フローである44)。スキャナーのソース、収差、スリット依存性等のマシーンデータを取り込んで、

GDSパターンデータから光学像が計算される。 並行して、パターン密度マップとフレアの畳み

込み計算が行われ、フレアマップが作られる。 さらに、光学像とフレアマップの畳み込み計算

を行えばフレアを考慮した、光学像がえられる。

Page 112: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

112

図 2-5-49 EUVL における像形成のフロー

Figure 2-5-49 Imaging formation modeling flow of EUVL.

図 2-5-50 はフラットデータと EUVL 特有の圧縮を行ったデータ(HScan)の補正処理時間及

びファイルサイズの比較の例である。マスクシャドウイング及びマスク BB を考慮した場合と考

慮しない場合の比較も行っている。この例では、圧縮により、処理時間はDRAMの場合1/100,

ロジックの場合 1/20 に短縮され、ファイルサイズは DRAM の場合 1/40, ロジックの場合 1/20

に圧縮される。

図 2-5-50 圧縮処理による CD 補正処理時間の短縮化とファイルサイズの

圧縮結果の例

Figure 2-5-50 Example of run time reduction of Flare/OPC/Mask shadowing

correction and file size reduction by data compaction, HScan.

検証の場合は、フィールド全面のチップのチェックが必要になり、処理時間の短縮化が補

正より困難である。図 2-5-51 は検証に対する 近の高速化の例である。フラットデータ処理に

比較し平均して 7 倍の高速化が達成されている。

Page 113: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

113

図 2-5-51 データ圧縮による検証時間の短縮化.

Figure 2-5-51 Run time reduction of verification, LMC,

by data compaction.

EUVL では、 初からオフアクシス照明が必要であり、SADP, SMO,レンズヒーティングなど

DUV スキャナーで開発されている技術の早い段階からの適用が予想されている。 さらに、

EUV スキャナーの場合は、光学系の収差が大きく、スリット位置依存のモデルが必要であり、

計算機リソグラフィの必要性は光リソグラフィに比較し決して低下するというものではない。

5-6-9 今後の展望

微細化の要求に沿って、シングル露光、ダブルパターニング、マルチパターニングと技術が

進展している。 コストが低く、スループットの高いマルチパターニングの方法が発見できれば

この方向は更に進展する。 EUVL の実現の見通しが立てば、その時点で流れは EUVL に行

く。

スキャナーのモデルが開発され、計算機リソグラフィとスキャナーの統合が進んだ。 今後は、

エッチング、コータ/ディベロッパ、成膜といった装置、マスクプロセスのモデル化が進み、計算

機リソグラフィと有機的に統合して行くのであろう。計算機リソグラフィは、現在 CD の補正に用

いられているが、将来、オーバレイの補正にも用いられると予測される。 新たなモデルが求め

られるとともに、計算機負荷とメトロロジーの負荷が増す。 計算機リソグラフィにとっての課題

はスピートの速い計算機の開発と、精度が高く、簡単で、計算機負荷が軽く、しかもメトロロジ

ー負荷の軽いモデル/アルゴリズムの開発である。

半導体の微細化の継続的発展に計算機リソグラフィは本質的な役割を果たす。海外のデ

バイメーカの計算機リソグラフィの取り組みは実に充実したものになっている。 特にパターン

が複雑なロジックデバイスにおいては計算機リソグラフィの果たす役割は大きい。 図2-5-21に

示したように計算機リソグラフィは製造側で必要となる技術である。 製造においては積極的に

0

10

20

30

40

50

60

FPGA Logic Metal DRAMContact

DRAM Poly

Full-field flat LMC

New full-field EUV LMC

Run

-tim

e (h

rs)

5.5x 3.5x 14x 8x

Page 114: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

114

計算機リソグラフィを取り込んでいかないと、世界に伍していけないだろう。今後ファブレス化も

大いに進むと予測される。ファブレスおいても、計算機リソグラフィを駆使して、徹底した計算

機実験を行い、コストメリットの出るロジックデバイス設計が可能であり、計算機リソグラフィは有

効な技術であろう。

参考文献

[1] J. Fung Chen, et al., Development of a Computational Lithography Roadmap, Proc.

SPIE ,6924, 69241c(2008)

[2] Pter De Bisschop, et al. Joint-Optimization of Layout and Litho for SRAM and Logic

towards the 20nm node, using 193i, Proc. of SPIE, 7973-10(2011).

[3] V.Farys, et al. Enabling 22-nm Logic Node with Advanced RET Solution, Proc. of SPIE

Vol. 7973(2011)

[4] Hidemi Yaegashi et al., Overview: Continuous evolution on double-patterning process,

Proc. of SPIE Vol.8325 (2012)

[5] Robert Socha, Xuelong Shi, David LeHoty, Simultaneous Source Mask Optimization

(SMO), Proc. SPIE Vol. 5853 (2005)

[6] Stephen Hsu, et al., An Innovative Source-Mask co-Optimization (SMO) Method for

Extending Low k1 Imaging, Proc. of SPIE Vol.7140 (2008)

[7] Joerg Zimmermann et al., Generation of arbitrary free form source shapes using advanced

illumination systems in high-NA immersion scanners, Proc. of SPIE Vol.7640(2010)

[8] Seiji Nagahara, et al., SMO for 28-nm logic device and beyond: Impact of source and

mask complexity on lithography performance, Proc. of SPIE Vol.7640(2010)4

[9] J. Bekaert, et al., Freeform illumination sources: An experimental study of source-mask

optimization for 22nm SRAM cells, Proc. of SPIE Vol. 7640(2010)

[10] Min-Chun Tsai, et al., Full-chip source and mask optimization, Proc. of SPIE

Vol.7973(2011)

[11] DongQing Zhang, et al., Source Mask Optimization Methodology (SMO) & Application

to Real Full Chip Optical Proximity Correction, Proc., of SPIE Vol.8326(2012)

[12] Hua-Yu Liu, et al., Separable OPC Models for Computational Lithography, Proc. of

SPIE Vol.7028(2008).

[13] Youping Zhang, et al., A Focus Exposure Matrix Model for Full Chip Lithography

Manufacturability Check and Proximity Correction, Proc. of SPIE Vol. 6283(2006).

[14] Mark Terry, et al., Process Window and Interlayer Aware OPC for 32nm Node, Proc. of

SPIE Vol. 6520 (2007)

[15] Jinyu Zhang, et al., Sub-Resolution Assist Features Placement Using

Cost-Function-Reduction Method., Proc. of SPIE Vol. 7488 (2009).

Page 115: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

115

[16] Min-Chun Tsai, et al., A full chip MB-SRAF placement using the SRAF guidance map.

Proc. of SPIE Vol.7823(2010)

[17] Charlotte Beylier, et al., Demonstration of an effective Flexible Mask Optimization

(FMO) flow, Proc. of SPIE Vol.8326(2012)

[18] Peng Liu, et al., A full-chip 3D computational lithography frame work, Proc. of SPIE

Vol.8326(2012).

[19] Peng Liu, Accurate prediction of 3D mask topography induced best focus variation in

full-chip photolithography applications, Proc. of SPIE Vol. 8166 (2011)

[20] Frank Staals, et al., Advanced Wavefront Engineering for Improved Imaging and

Overlay Applications on a 1.35 NA Immersion Scanner, Proc. of SPIE Vol. 7973 (2011)

[21] Xin Zhen, et al., Resist loss in 3-D compact modeling, Proc. of SPIE Vol. 8326(2012)

[22] Patric Wong, et al., Litho1-Litho2 Proximity difference for LELE and LPLE double

patterning process, Proc. of SPIE Vol.8326 (2012)

[23] Rani S. Ghaida et al., A Novel Methodology for triple/Multiple-Patterning Layout

Decomposition, Proc. of SPIE Vol.8327.

[24] Yijian Chen et al., Self-aligned Triple Patterning for Continuous IC Scaling to Half-Pitch

15nm, Proc. of SPIE Vol. 7973(2011)

[25] Ping Xu, et al., Sidewall spacer quadruple patterning for 15 nm half-pitch, Proc. of SPIE

Vol. 7973(2011)

[26] Koichi Nakayama et al., Self-Aligned Double and Quadruple Patterning Layout Principle,

Proc. of SPIE Vol. 8327(2012).

[27] Michael C.Smayling et al., Sub-20nm Lithography Optimization with Simple OPC and

Multiple Pitch Division, Proc. of SPIE Vol. 8326(2012)

[28] Robert Socha, et al., Improved fab CDU with FlexRay and Litho Tuner, Proc. of SPIE

Vol.7973(2011)

[29] Jongwon Jang, et al., Scanner matching using pupil intensity control between scanners in

30nm DRAM device, Proc. of SPIE Vol.7973 (2011)

[30] Tsung-Chih Chien, et al., Model-based scanner tuning for process optimization, Proc. of SPIE Vol.7520(2009)

[31] Nazneen Jeewakhan, et al., Application of DoseMapper for 65nm Gate CD Control: Strategies and Results, Proc. of SPIE Vol. 6349(2006)

[32] Jong-Ho Lim, et al., Advanced Scanner Matching using Freeform Source and Lens Manipulation, Proc. of SPIE Vol.7973 (2011).

[33] J.Bekaert, et al., Characterization and control of dynamic lens heating effects under high volume manufacturing conditions, Proc. of SPIE Vol. 7973(2011)

[34] Scott Halle et al., Lens Heating Challenges for Negative Tone Develop Layers with Free Form Illumination: A comparative study of experimental vs. simulated results, Proc. of SPIE Vol. 8326(2012)

[35] Karsten Bubke, et al., Simulation-base scanner tuning using FlexRay Capability and

Page 116: 第2 編 リソグラフィ WG - seaj.or.jp · PDF fileリソグラフィ 1 第2 編 リソグラフィwg 第1 章 はじめに 1-1 背景 itrsならびにstrjのロードマップには、デバイスメーカ

リソグラフィ

116

Scatterometry, Proc. of SPIE Vol. 7973 (2011) [36] Frank A.J.M.Driesden, Holistic lithography for EUV:NXE:3100 characterization of first

printed wafers using an advanced scanner model and scatterometry, Proc. of SPIE Vol. 8166(2011)

[37] Yasuko Saito, et al., Performance and stability of Mask Process Correction for EBM-7000, Proc. of SPIE Vol. 7748(2010).

[38] J.Planchot, et al., Full field lithographical verification using scanner and mask intrafield fingerprint, Proc. of SPIE Vol. 8326(2012)

[39] George Chen et al., Lithographic simulation and defect detection from high-resolution mask images, Proc. of SPIE Vol. 7379(2009)

[40] T.Takigawa et al., Extension of Optical Lithography by Mask-Lito Integration with Computational Lithography, Proc. of SPIE Vol. 7748(2010)

[41] Jan V. Hermans, et al., Progress in EUV Lithography Towards Manufacturing from an Exposure Tool Perspective, Proc. of SPIE 8322(2012)

[42] Natalia Davydova, et al., Imaging performance improvements by EUV mask stack optimization, Pro. Of SPIE Vol. 7985(2011)

[43] Gian F. Lorusso, et al., Model calibration and verification for pre-production EUVL, Proc. of SPIE Vol. 8322(2012)

[44] Peter Nikolsky, et al., Feasibility study of the approach to flare, shadowing, optical and process corrections for EUVL OPC, Proc. SPIE Vol. 7488 (2009).