sesión 13 sistemas y códigos numéricos, circuitos lógicos combinacionales

Upload: azael-yemu-mqe

Post on 28-Feb-2018

225 views

Category:

Documents


1 download

TRANSCRIPT

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    1/15

    12/08/2014

    1

    1. CONVERSIN DE DECIMAL A BINARIO

    MTODO DIVISIONES SUCESIVAS

    DIVIDIR EL NMERO DECIMAL ENTRE 2.

    GUARDAR COCIENTE Y EL RESIDUO.

    TOMAR COCIENTE ANTERIOR Y REPETIRPASO1 HASTA QUE EL COCIENTE SEAMENOR QUE LA BASE.

    ESCRIBIR (CONCATENAR) EL ULTIMOCOCIENTE Y LOS RESIDUOS EMPEZANDOPOR EL ULTIMO.

    8/12/2014CIRCUITOS DIGITALES 2

    2512 2

    6

    31

    10

    0

    1

    22

    2

    110012

    MTODO POR DESCOMPOSICIN YRESIDUOS

    SE TIENE EN CUENTA SI EL NMEROES

    PAR O IMPAR, COLOCANDO 1 SI ES IMPAR

    O 0 SI ES PAR.

    SE HALLA LA MITAD EL NMERO, LUEGO

    SE REPITEN ESTOS PASOS HASTA QUE EL

    RESULTANTE SEA MENOR QUE LA BASE

    8/12/2014CIRCUITOS DIGITALES 3

    25

    1263 1

    1

    00

    1

    11 0 0 12

    MTODO POTENCIA CERCANA

    SE BUSCA LA POTENCIA MS

    CERCANA AL NMERO Y SE LE

    RESTA.

    SE REPITE EL PROCEDIMIENTO

    HASTA QUE EL RESULTANTE SEA

    MENOR QUELA BASE.

    CADAPOTENCIA REPRESENTA LOS

    BITS SIGNIFICATIVOS DEL NMERO

    8/12/2014CIRCUITOS DIGITALES 4

    25-16

    9- 8

    1

    24

    =23

    =20

    =

    1 1 0 0 12

    24 23 22 21 20

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    2/15

    12/08/2014

    2

    2. CONVERSIN DE BINARIO A DECIMAL

    MTODO MULTIPLICACIONES SUCESIVAS

    LA SUMATORIA DE CADADIGITO MULTIPLICADO POR

    LA BASE ELEVADA A LA

    POSICIN DEL MISMO.

    SEGN EL ESQUEMA DE

    HORNER, ES:

    8/12/2014CIRCUITOS DIGITALES 5

    1 1 0 0 1224 23 22 21 20

    1 x 20 = 10 x 21 = 00 x 21 = 01 x 23 = 8

    1 x 24 = 16

    25

    MTODO SUMAS SUCESIVAS

    SE MULTIPLICA EL DGITO POR EL VALOR DE LABASE (DE IZQUIERDA A DERECHA), SUMANDO

    EL RESULTADO AL SIGUIENTE DGITO.

    EL RESULTADO DE LA SUMA SE VUELVE A

    MULTIPLICAR POR LA BASE Y SUMAR AL

    SIGUIENTE DGITO.

    8/12/2014CIRCUITOS DIGITALES 6

    1 1 0 0 12+2

    3 25

    +6

    6

    +12

    12

    +24

    1

    SISTEMAS NUMRICOS OCTAL &HEXADECIMAL

    CONVERSIONES:

    8/12/2014CIRCUITOS DIGITALES 7

    De Binario a Decimal

    De Octal a Decimal

    De Hexadecimal a Decimal

    1 1 0 1 = 1 x 23 + 1 x 22 + 0 x 21 + 0 x 20

    9 E 5 A = 9 x 16 3 + 14 x 162 + 5 x 161 + 10 x 160

    3 6 1 4 = 3 x 83 + 6 x 82 + 1 x 81 + 4 x 80

    DECIMAL CODIFICADO EN BINARIO BCD

    EL CDIGO BCD NATURAL, CADA DGITO

    DECIMAL ES REPRESENTADO (CODIFICADO) POR

    SU EQUIVALENTE DE 4 DGITOS BINARIOS (BITS)

    SEGN SE MUESTRA EN LA TABLA.

    8/12/2014CIRCUITOS DIGITALES 8

    Nmero BCD

    Decimal Natural

    0 0000

    1 0001

    2 0010

    3 0011

    4 0100

    5 0101

    6 0110

    7 0111

    8 1000

    9 1001

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    3/15

    12/08/2014

    3

    CDIGOS ALFANUMRICOS

    SON CDIGOS QUE PERMITEN REPRESENTAR LETRAS, NMEROS Y

    CARACTERES DE CONTROL.

    ENTRE ESTOS SE ENCUENTRAN EL CDIGO ASCII (AMERICAN STANDARD

    CODE FOR INFORMATION INTERCHANGE) Y EBCDIC (EXTENDED BINARY

    CODED DECIMAL INTERCHANGE CODE).

    8/12/2014CIRCUITOS DIGITALES 9

    APLICACIN

    Un CD-ROM ordinario puede almacenar 650 megabytes de datosdigitales. Como 1 mega = 220, Cuantos bits de datos puede

    almacenar un CD-ROM?

    Solucin

    Recuerde que un byte tiene ocho bits.

    650 megabytes son 650 x 2 20 x 8 = 5,452,595,200 bits.

    8/12/2014CIRCUITOS DIGITALES 10

    RESUMEN PUERTAS LGICAS

    8/12/2014CIRCUITOS DIGITALES 11

    DESCRIPCIN DE CIRCUITOS LGICOS

    EN FORMA ALGEBRAICA

    Cualquier circuito lgico, sin importar que tan complejo sea, puededescribirse por completo mediante el uso de las tres operaciones

    booleanas bsicas ya que las compuertas OR, AND y el circuito NOTson los bloques fundamentales para la construccin de sistemas

    digitales.

    8/12/2014CIRCUITOS DIGITALES 12

    (a) Circuito lgico con su expresin

    booleana.(b) Circuito lgico cuya expresin

    requiere parntesis.

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    4/15

    12/08/2014

    4

    CIRCUITOS QUE CONTIENEN INVERSORES

    Siempre que haya un INVERSOR presente en el d iagrama de uncircuito lgico, la expresin de su salida es en si iguala la expresin

    dela entrada conunabarra sobre ella.

    8/12/2014CIRCUITOS DIGITALES 13

    Circuitos que utilizan INVERSORES.

    EJEMPLOS CON CIRCUITOS LGICOS

    8/12/2014CIRCUITOS DIGITALES 14

    EVALUACIN DE LAS SALIDAS DE

    CIRCUITOS LGICOS

    Una vez que tengamos la expresin booleana para un circuito lgico,podremos obtener el nivel lgico de salida para cualquier conjunto

    de niveles de entrada. Por ejemplo, suponga que deseamos conocer el nivel lgico de las

    salidas de los circuitos lgicos anteriores.

    8/12/2014CIRCUITOS DIGITALES 15

    ANLISIS MEDIANTE EL USO DE UNA

    TABLA

    8/12/2014CIRCUITOS DIGITALES 16

    Anlisis de un circuito lgico

    mediante el uso de tablas deverdad.

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    5/15

    12/08/2014

    5

    IMPLEMENTACIN DE CIRCUITOS A

    PARTIR DE EXPRESIONES BOOLEANAS

    Cuando la operacin de un circuito se define mediante unaexpresin booleana, podemos dibujar el diagrama de un circuito

    lgico de manera directa a partir de esa expresin.

    8/12/2014CIRCUITOS DIGITALES 17

    EJEMPLO:

    Dibuje el diagrama del circuito para implementar la expresin: = + +

    8/12/2014CIRCUITOS DIGITALES 18

    COMPUERTAS NOR Y NAND

    COMPUERTA NOR

    (a) Smbolo NOR; (b) circuito equivalente; (c) tabla de verdad.

    8/12/2014CIRCUITOS DIGITALES 19

    COMPUERTA NAND

    (a) Smbolo NAND; (b) Circuito equivalente; (c) Tabla de verdad.

    8/12/2014CIRCUITOS DIGITALES 20

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    6/15

    12/08/2014

    6

    TEOREMAS BOOLEANOS

    8/12/2014CIRCUITOS DIGITALES 21

    Teoremas con una sola variable.

    UNIVERSALIDAD DE LAS COMPUERTAS

    NAND

    8/12/2014CIRCUITOS DIGITALES 22

    Las compuertas NAND pueden usarse para implementar cualquier funcin booleana.

    UNIVERSALIDAD DE LAS COMPUERTAS

    NOR

    8/12/2014CIRCUITOS DIGITALES 23

    Las compuertas NOR pueden usarse para implementar cualquier funcin booleana.

    REPRESENTACIONES ALTERNAS DE

    COMPUERTAS LGICAS

    8/12/2014CIRCUITOS DIGITALES 24

    Smbolos estndar y alternativos para

    varias compuertas lgicas y para el

    inversor.

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    7/15

    12/08/2014

    7

    SIMPLIFICACIN DE CIRCUITOS LGICOS

    Una vez que se obtiene la expresin para un circuito lgico,

    podemos reducirla a una forma mas simple que contenga menos

    trminos, o menos variables en uno o mas trminos.

    8/12/2014CIRCUITOS DIGITALES 26

    A menudo es posible simplificar un circuito

    lgico de tal forma que en la parte (a) seproduzca una implementacin mas eficiente,la cual se muestra en (b).

    SIMPLIFICACIN ALGEBRAICA

    Podemos utilizar los teoremas de algebra booleana para que nosayuden a simplificar la expresin para un circuito lgico.

    8/12/2014CIRCUITOS DIGITALES 27

    MTODO DE MAPAS DE KARNAUGH

    El mapa de karnaugh mapa K) es una herramienta grafica que seutiliza para simplificar una ecuacin lgica o convertir una tabla de

    verdad en su correspondiente circuito lgico mediante un proceso

    simple y ordenado.

    Aunque un mapa K puede usarse para problemas en los que seinvolucre cualquier numero de variables de entrada, su utilidad

    prctica esta limitada a cinco o seis variables.

    8/12/2014CIRCUITOS DIGITALES 28

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    8/15

    12/08/2014

    8

    FORMATO DEL MAPA DE KARNAUGH

    Al igual que una tabla de verdad, el mapa K es un medio para

    mostrar la relacin entre las entradas lgicas y la salida deseada.

    1.La tabla de verdad proporciona el valor de la salidaX para cadacombinacin de valores de entrada. El mapa K proporciona la misma

    informacin en un formato distinto. Cada caso en la tabla de verdad

    corresponde a una casilla en el mapa K.

    2.Las casillas del mapa K se etiquetan de manera que las casillasadyacentes en forma horizontal difieran solo por una variable.

    8/12/2014CIRCUITOS DIGITALES 29 8/12/2014CIRCUITOS DIGITALES 30

    Mapas de Karnaughy tablas de verdad para(a) dos, (b) tres y (c) cuatro variables.

    AGRUPAMIENTO DE PARES

    GRUPOS DE DOS)

    8/12/2014CIRCUITOS DIGITALES 31

    Ejemplos de agrupamientos depares de 1s adyacentes.

    AGRUPAMIENTO DE CUDRUPLES

    GRUPOS DE CUATRO)

    8/12/2014CIRCUITOS DIGITALES 32

    Ejemplos de agrupamiento decudruples.

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    9/15

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    10/15

    12/08/2014

    10

    CONDICIONES NO IMPORT

    8/12/2014CIRCUITOS DIGITALES 37

    Las condicionesNo

    importa deben cambiarsepor 0 o 1 para producir unagrupamiento del mapa K

    que genere la expresinmas simple.

    Algunos circuitos lgicos pueden disearse de manera que haya

    ciertas condiciones de entrada para las cuales no existan niveles desalida especificados, por lo general, Debido a que estas condiciones

    de entrada nunca ocurrirn.

    EJEMPLO:

    8/12/2014CIRCUITOS DIGITALES 38

    Ejemplo con Condiciones No importa

    CIRCUITOS OR EXCLUSIVO

    8/12/2014CIRCUITOS DIGITALES 39

    (a) Circuito OR exclusivo y su tabla de verdad;

    (b) Smbolo tradicional de la compuerta XOR;(c) Smbolo IEEE/ANSI para la compuerta XOR.

    Este circuito produce una salida en ALTO siempre que las dos entradas se encuentran en

    los niveles opuestos.

    CIRCUITOS NOR EXCLUSIVO

    8/12/2014CIRCUITOS DIGITALES 40

    El circuito XNOR produce una salida en ALTO siempre que las dos entradas se encuentran

    en el mismo nivel.

    (a) Circuito NOR exclusivo;

    (b) Smbolo tradicional para la compuerta XNOR;

    (c) Smbolo IEEE/ANSI.

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    11/15

    12/08/2014

    11

    CARACTERSTICAS BSICAS DE LOS

    CIS DIGITALES

    Los CIs digitales son una coleccin de resistencias, diodos y

    transistores fabricados en una sola pieza de material semiconductor(por lo general, silicio), al cual se le conoce comosustrato, que por lo

    comn se le denomina chip.

    El chip esta encerrado en un encapsulado de plstico o cermicaprotectora del cual salen terminales para conectar el ci con otros

    dispositivos. Uno de los tipos mas comunes es el encapsulado dual

    en lnea DIP)

    8/12/2014CIRCUITOS DIGITALES 41

    (a) encapsulado dual en lnea (DIP); (b) vista superior; (c) el chip de silicio es muchomas pequeo que el encapsulado protector; (d) encapsulado PLCC.

    8/12/2014CIRCUITOS DIGITALES 42

    CLASIFICACIN DE LOS CISDIGITALES

    8/12/2014CIRCUITOS DIGITALES 43

    CIS BIPOLARES Y UNIPOLARES

    Los CIs bipolares se fabrican mediante el uso del transistor de uninbipolar (NPN y PNP) como elemento principal del circuito.

    Los CIs unipolares utilizan el transistor unipolar de efecto de campo(MOSFETS de canal P y N) como su elemento principal.

    8/12/2014CIRCUITOS DIGITALES 44

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    12/15

    12/08/2014

    12

    8/12/2014CIRCUITOS DIGITALES 45

    (a) Circuito INVERSOR TTL;

    (b) Circuito INVERSOR CMOS.Los nmeros de terminales se muestran entre parntesis.

    FAMILIA TTL

    8/12/2014CIRCUITOS DIGITALES 46

    FAMILIA CMOS

    8/12/2014CIRCUITOS DIGITALES 47

    ALIMENTACIN Y TIERRA

    Las conexiones mas importantes son: alimentacin de corrientedirecta(cd) y tierra.

    Estas conexiones son requeridas para que los circuitos en el chipoperen en forma correcta.

    INTERVALOS DE VOLTAJE DE NIVELES LGICOS

    Para los dispositivos TTL, el valor nominal de VCCes 5 V.

    Para los circuitos integrados CMOS, VDD puede variar de 3 a 18 V,aunque el valor mas comn es 5 V. Cuando los circuitos CMOS seutilizan en la misma placa con circuitos integrados TTL.

    8/12/2014CIRCUITOS DIGITALES 48

    / /

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    13/15

    12/08/2014

    13

    ENTRADAS DESCONECTADAS

    FLOTANTES)

    Una entrada desconectada se le conoce como entrada flotante.

    8/12/2014CIRCUITOS DIGITALES 49

    Intervalos de voltajes de entradade los niveles lgicos para los CIsdigitales (a) TTL y (b) CMOS.

    DIAGRAMAS DE CONEXIONES

    DE CIRCUITOS LGICOS

    Un diagrama de conexiones muestra todas las conexiones elctricas,

    nmeros de terminal, nmeros de CI, valores de los co mponentes,nombres de las seales y voltajes de alimentacin.

    8/12/2014CIRCUITOS DIGITALES 50

    Diagrama de conexiones de un

    circuito lgico comn.

    DIAGNSTICO DE FALLAS DE

    SISTEMAS DIGITALES

    1. Deteccin de fallas. Observe la operacin del circuito/sistema ycomprela con la operacin correcta esperada.

    2.

    islamiento de fallas

    . Realice pruebas y mediciones para aislar lafalla.

    3. Correccin de fallas. Sustituya el componente defectuoso, reparela conexin defectuosa, elimine el corto, o realice la accin

    pertinente.

    8/12/2014CIRCUITOS DIGITALES 51

    SONDA LGICA

    La sonda lgica tiene una punta de metal afilada con la que se tocael punto especifico que deseamos probar.

    El nivel lgico presente en la punta de la sonda se indicara mediante

    el estado de sus LEDs indicadores.

    8/12/2014CIRCUITOS DIGITALES 52

    Una sonda lgica se util iza para monitorear la actividad de

    los niveles lgicos en la terminal de un CI o en cualquierpunto accesible en un circuito lgico.

    12/08/2014

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    14/15

    12/08/2014

    14

    FALLAS INTERNAS EN LOSCIRCUITOS INTEGRADOS DIGITALES

    Las fallas internas mas comunes en los CIs digitales son:

    1. Fallas en los circuitos internos.

    2. Entradas o salidas cortocircuitadas a tierra o a VCC.

    3. Entradas o salidas sin conectar (circuito abierto).

    4. Corto entre dos terminales (que no sean tierra ni VCC).

    8/12/2014CIRCUITOS DIGITALES 53 8/12/2014CIRCUITOS DIGITALES 54

    (a) Entrada de un CI cortocircuitada a tierra en forma interna;

    (b) entrada de un CI en corto con la fuente de voltaje, de manera interna. Estos dos tipos de fallasobligan a que la seal de entrada en la terminal cortocircuitada se quede en el mismo estado.

    (c) Salida del CI cortocircuitada a tierra de manera interna;(d) la salida en corto con la fuente de voltaje, de manera interna. Estas dos fallas no afectan a las

    seales en las entradas del CI.

    EJEMPLO:

    8/12/2014CIRCUITOS DIGITALES 55

    Que indicara una sonda lgica en la terminal 13 y en la terminal 6 de la

    figura?

    Solucin:

    En la terminal 13, la sonda lgica indicara el nivel lgico de la seal externa que seconecta a la terminal 13 (la cual no s e muestra en este diagrama). En la terminal 6, lasonda lgica no tendr ningn LED encendido para un nivel lgico indeterminado, yaque el nivel de salida de la compuerta NAND nunca llegara a la terminal 6.

    DISPOSITIVOS LGICOS PROGRAMABLES*

    1. HISTORIA

    Los PLC fueron inventados en respuesta a lasnecesidades de la industria automotriz

    norteamericana por el ingeniero estadounidense

    Dick Morley.

    En 1968 GM Hydramatic (la divisin detransmisiones automticas de General Motors)

    ofert un concurso para una propuesta del

    reemplazo electrnico de los sistemas cableados.

    8/12/2014CIRCUITOS DIGITALES 56

    12/08/2014

  • 7/25/2019 Sesin 13 Sistemas y Cdigos Numricos, Circuitos Lgicos Combinacionales

    15/15

    12/08/2014

    15

    2. DISPOSITIVOS LGICOSPROGRAMABLES

    PROM: MEMORIA PROGRAMABLE DE SOLO LECTURA

    PLA: ARREGLO LGICO PROGRAMABLE

    PAL: LGICA DE ARREGLOS PROGRAMABLES

    GAL: ARREGLO LGICO GENRICO

    CPLD: DISPOSITIVO LGICO PROGRAMABLE COMPLEJO

    FPGA: ARREGLOS DE COMPUERTAS PROGRAMABLES ENCAMPO

    8/12/2014CIRCUITOS DIGITALES 57

    3. LENGUAJES DE DESCRIPCINEN HARDWARE (HDL)

    Surgen en la dcada de los cincuenta

    Representan una opcin de diseo para integrar aplicaciones

    Permiten la descripcin en diferentes formatos y niveles

    8/12/2014CIRCUITOS DIGITALES 58