routing evaluations

21
Routing Evaluations Abstract The use of right configurations are essential for the efficient performance of any routing tool. In this project a comparative study was done on the results obtained from various configurations of the tool and different routing scripts were used to obtain best possible results. The two versions of the tool used were Talus 1.0.80 and Talus 1.0.82.The experiments were carried out on OMAP3430 and Kaleido databases. Introduction Overview of Talus Platform: The Talus platform consists of the Automated Chip Creation product, which is most useful for larger, hierarchical designs, and Talus Design and Talus Vortex products, which are most useful for block and flat designs. To create a chip design we have to follow certain process. The process in order is shown in the figure on the next page. Routing Basics In the Magma design flow, you complete routing at the fix wire stage, after completing physical optimization (fix cell) and clock routing (fix clock), as shown in the Figure.

Upload: jason-reed

Post on 27-Nov-2014

82 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Routing Evaluations

Routing Evaluations

Abstract

 The use of right configurations are essential for the efficient performance of any routing tool. In this project a comparative study was done on the results obtained from various configurations of the tool and different routing scripts were used to obtain best possible

results. The two versions of the tool used were Talus 1.0.80 and Talus 1.0.82.The experiments were carried out on OMAP3430 and Kaleido databases.

Introduction

Overview of Talus Platform:

      The Talus platform consists of the Automated Chip Creation product, which is most useful for larger, hierarchical designs, and Talus Design and Talus Vortex products, which are most useful for block and flat designs.      To create a chip design we have to follow certain process. The process in order is shown in the figure on the next page.

Routing Basics

In the Magma design flow, you complete routing at the fix wire stage, after completing physical optimization (fix cell) and clock routing (fix clock), as shown in the Figure.

Routing Within the Magma Design Flow

Page 2: Routing Evaluations

The fix wire Stage

In the fix wire stage, the Magma design tools complete the physical implementation of a design. Up to this point, the tools have done some routing of both power and clock nets, but they do the majority of the routing of a design at this time. The fix wire script routes all signal nets, and finishes clock net routing and any power routing not previously completed.

Basic Routing Flow

Page 3: Routing Evaluations

The Magma design software performs four types of routing during the fix wire stage:1. Stub routing works on very short nets and routes them on the metal1 layer only.2. Global routing focuses on congestion and timing, creates net segments, and defines the initial bucket-level routing topologies.3. Track routing also works on the bucket level. It orders and spaces the net segments and assigns them to legal track positions.4. Detailed routing converts the segments to actual wires and vias that connect all pins of all nets.

Stub Routing

Page 4: Routing Evaluations

Stub routing is the first step in signal routing. During stub routing, very short nets are identified and routed on the metal1 layer only. The purpose of stub routing is to take advantage of the otherwise unused metal1 resources and to avoid inaccuracy during the global routing of short nets.

Global Routing

Global routing focuses on resolving congestion and timing issues. Global routing creates net segments and defines the initial bucket-level routing topologies. The topologies include a layer assignment for each segment of each net. Each net segment is a total contiguous length of interconnect on a given layer.

Sample Bucket-Level (Global-Routing) Topology

Page 5: Routing Evaluations

Track Routing

Track routing is a fast process, compared to detailed routing, and quickly produces initial routing that will later be used during detailed routing. Track routing does not generate vias or create connectionsTo exact pin locations and does not address all layout rules.Like global routing, track routing works on the bucket level . It ordersand spaces the net segments and assigns them to legal track positions. Proper ordering and spacing greatly reduces crosstalk coupling and noise. Track routing does not adjust the topologies set during global routing; therefore, the congestion predicted by global routing is not altered.

Track Routing

Track routing complements detailed routing in terms of the types of regions routed. By routing long channels across entire single-bucket rows or columns, track routing

Page 6: Routing Evaluations

processes much larger areas at a time than detailed routing. A more global view of the layout allows the track router to generate long, straight routes for long nets and produces accurate jogging around large routing obstacles, such aspower mesh elements.Track routing results are the starting point for final routing by the detailed router.

Detailed Routing

The Magma design system’s detailed router is a powerful area router that works on arbitrary regions (of reasonable sizes). Detailed routing respects any nondefault routing rules you define, allowing you to control routing results. In addition, detailed routing has engineering change order (ECO) and incremental capability, which supports quick repairs. The detailed router observes DRC and LVS rules, such as island and multiport rules. • Analyzes the net-segment topologies • Converts the segments to actual wires and vias that connect all pins of all nets. • Begins the process of correcting technology rule violations

Experiments

Aim: To find the change in various parameters between normal fix wire and when crosstalk delay option is enabled in the fix wire.

Parameters to be tabulated were

Change in length per metal layer Change in wire length per net Change in positions of cell Change in crosstalk delay

Before enabling crosstalk option

Layer | -- Segment Statistics -- | -- Wire Statistics – MET1: 0.072 ( 0.2%) in 13426 | 0.096 ( 0.3%) in 53892 MET2: 1.900 ( 5.6%) in 2814186 | 2.328 ( 6.8%) in 3941510 MET3: 8.028 (23.8%) in 2088074 | 8.116 (23.8%) in 2081043 MET4: 7.303 (21.6%) in 1011744 | 7.205 (21.1%) in 996710 MET5: 8.540 (25.3%) in 546706 | 8.500 (24.9%) in 517299 MET6: 7.917 (23.4%) in 309507 | 7.838 (23.0%) in 251557 Total : 33.75984 meter in 6783643 | 34.08222 meter in 7842011 wires

After enabling crosstalk option

Page 7: Routing Evaluations

Wire statistics Layer | -- Segment Statistics -- | -- Wire Statistics -- MET1: 0.073 ( 0.2%) in 13863 | 0.099 ( 0.3%) in 63803 MET2: 1.907 ( 5.6%) in 2817312 | 2.275 ( 6.7%) in 3886459 MET3: 8.028 (23.8%) in 2091905 | 8.116 (23.9%) in 2149714 MET4: 8.116 (24.0%) in 1092874 | 7.201 (21.2%) in 1020289 MET5: 8.574 (25.4%) in 637585 | 8.500 (25.0%) in 525925 MET6: 7.081 (21.0%) in 332290 | 7.830 (23.0%) in 252841 Total : 33.77908 meter in 6985829 | 34.02125 meter in 7899031 wires Change in wire length per net

Number of nets vs change in length

481223 nets changed their length in the range of 0 to 10 392557 nets did not undergo any change in wire lengthAll figures are in microns

Page 8: Routing Evaluations

Number of cells versus displacement in microns(remaining cells displacement is 0)

Number of pins vs Crosstalk delay(ps)(remaining pins crosstalk delay is 0)

Page 9: Routing Evaluations

Experiment: To find the change in the number of vias if overhang rotation is allowed

Change in the number of vias

• Via1 : 95067 3.43%• Via2 : 405523 17.54%• Via3 : 21377 1.94%• Via4 : 5249 1.37% • Via5 : 1268 0.38%• Via6 : 0 0%• Viatop : 0 0%• Find 528484 array vias and custom vias 7.67%

Via vs percentage change in number of vias

Aim: To find the change in the number of vias after changing the overhang dimensions according to the fab requirements.

Fab requirements :

Via12 : Met1 0 20 0 20 ; Met2 20 0 20 0Via23 : Met2 0 20 0 20 ; Met3 20 0 20 0 Via34 : Met3 0 20 0 20 ; Met4 20 0 20 0 Via45 : Met4 0 20 0 20 ; Met5 20 0 20 0 Via56 : Met5 0 55 0 100 ; Met6 55 0 100 0Via67 : Met6 0 130 0 130 ; Met7 130 0 130 0

Change in the number of vias

Page 10: Routing Evaluations

• Via1 : 266 0.095%• Via2 : 4127 0.178%• Via3 : 2122 0.193%• Via4 : 1245 0.325% • Via5 : 598 0.182%• Via6 : 0 0.0%• Viatop : 0 0.0%• Find 8358 array vias and custom vias 0.56%

Via vs percentage change in number of vias

Drc volations change after overhang was changed

• SPCE 34747 6• SHRT 64216 0• ISPC 1626 0• offg 306509 1752• ispc 164926 8980• spce 387876 32913• nspc 8478 0• shrt 458021 -7725• ntch 33370 1357• Open 64 6• ilnd 494055 152070• dgnl 939 18• Hole 530 -10• mprt 543 -1• pwro 79683 0• viav 9338 0• shed 49647 -275

Aim: To obtain changes in various routing parameters with different versions of TALUS and different fix wire scripts.

Page 11: Routing Evaluations

COMPARISON OF VARIOUS PARAMETERS DURING ROUTING IN DIFFERENT VERSIONS OF TALUS (OMAP3430)

PARAMETERS FIXWIRE1.0.80

FIXWIRE1.0.82

PYRAMID1.0.80

PYRAMID1.0.82

No. of CPUs 1 1 1 1

Hybrid Setting auto auto auto auto

Bucket count 21392910 21392910 21392910 21392910

GLOBAL

ROUTING

Overflow>100% 0% 0% 0% 0%

Overflow>85% 83% 83.1% 83.3% 83.3%

Std. Cell Region Overflow>100%

0% 0% 0% 0%

Std. Cell Region Overflow>85%

39.1% 39.2% 40.5% 40.5%

Set Up WNS/TNS/FEP -7631-34813311070

-7649-35105951073

-7629-35636691079

-7629-35636691079

Hold WNS/TNS/FEP -4691-318391808

-4848-323497841

-4762-314234730

-4762-314234730

GR Run Time 53minutes 68 minutes 70 minutes 76minutes

TRACK

Short 3963 (54003u)

3846(53015u)

4312(49976u)

4486(53072u)

Spacing 219(3362u)

223(3041u)

339(4185u)

353(4342u)

Set Up WNS/TNS/FEP -7745-35786691118

-7646-34996821104

-7777-36416241232

-7777-36416241232

Hold WNS/TNS/FEP -4655-322582

-4678-320203

-4548-320579

4548-320579

Page 12: Routing Evaluations

1171 1173 1080 1080

TR Run Time 52 minutes 63 minutes 60 minutes 60 minutes

DRC countSpceshrt

2193963

2233846

3394312

3534486

DETAILED

DRC SinglePassSpceShrt

16673181250051

16560441225248

1070581674800

1071845679211

RRF Run Time 187minutes

241 minutes

188 minutes C 210W 220

RRR Run Time 145minutes

125 minutes

107minutes

C 82W 84

Run Route AntennaRun Time

21 minutes 12 minutes 14 minutes 16 minutes

OPTIMIZATION

Jog Reduction run time 12 minutes 14 minutes 5 minutes 1 minute

Redundant Via Run Time

190 minutes 188 minutes C 67mintW 84mint

70 minutes

Total Wire Length 98.417 m 98.495m 100.285m 100.348m

Total Via RedundantAfter jog opt change

3.910 129442513910227957000

13067985418272810620

14422969 153902 ---------

14601697156289---------

DRC Spot Count 98554367

110564886

50941824

55992108

Set Up WNS/TNS/FEP -7552-34694741114

-7453-33521211094

-7578-34643891145

-7578-34643891145

Hold WNS/TNS/FEP -4691-314524736

-4686-313697779

-4581-312724724

-4581-312724724

Page 13: Routing Evaluations

COMPARISON OF VARIOUS PARAMETERS DURING ROUTING IN DIFFERENT VERSIONS OF TALUS (KALEIDO)

PARAMETERS FIXWIRE1.0.80

FIXWIRE1.0.82

PYRAMID1.0.80

PYRAMID1.0.82

No. of CPUs 4 4 4 4

Hybrid Setting auto auto auto auto

Bucket count 3082996 3082996 3082996 3082996

GLOBAL

ROUTING

Overflow>100% 0% 0% 0% 0%

Overflow>85% 35% 35% 35.2% 35%

Std. Cell Region Overflow>100%

0% 0% 0% 0%

Std. Cell Region Overflow>85%

26.3% 26.5% 26.6% 26.4%

Set Up WNS/TNS/FEP -70-2606

-78-3779

-6-61

-11-254

Hold WNS/TNS/FEP -68186-163234132499

-68187-164132032580

-68184-167459933229

-68184-172603134065

GR Run Time 19 minutes 21 minutes 36 minutes minutes

TRACK

Short 0 0 0 0

Spacing 0 0 1273(15679u)

649(7587u)

Set Up -820 -1117 -1263 -1022WNS/TNS/FEP -259069

2142-208142110905

-13260266829

-10614805917

Hold WNS/TNS/FEP -68181 -68183 -68180 -68180

Page 14: Routing Evaluations

-190606236089

-181041134861

-194341636042

-190446135822

TR Run Time 10 minutes 13 minutes 15minutes 16 minutes

DETAILED

DRC SinglePassSpceShrt

785045635330

779096625709

347142616998

296656440864

RRF Run Time 102minutes

110minutes

C 10033W 2936

C 6912W 2114

RRR Run Time 45 40 C 464W 409

C 114W 114

OPTIMIZATION

Jog Reduction run time 8 minutes 8 minutes 5 minutes 2 minutes

Redundant Via Run Time

110 minutes 96 minutes ------- -------

Total Wire Length 34.082 m 34.134m 34.657m 34.815 m

Total single Via RedundantAfter jog opt.change

8393783150141211350

8464859142823811230

9137955 8629743

DRC Spceshrt

84971689

84111599

53721352

7849122

Set Up WNS/TNS/FEP -1174-219635911460

-1117-208140810905

-507-24385-320

-278-9045164

Hold WNS/TNS/FEP -68181-179566934742

-68183-181039034860

-68183-170413433246

-68180-168239333193

Page 15: Routing Evaluations

COMPARISON OF VARIOUS PARAMETERS DURING ROUTING WITH DIFFERENT SCRIPTS ON OMAP3430

Talus 1.0.82

Parameters Magma Fix Wire

Pyramid Fix Wire

Script 1 Script 2

DRC fixwireSpceShrt

110564886

55992108

460781463

17226034174

DRC fix drcspceShrt

------- 55992108

21611463

17226034174

Run Time stub 70 51 64 47

Run TimeGR

68 75 102 83

Run TimeTR

63 60 C 66W 28

59

Run TimeSinglepass

161 139 C 152W 56

160

Run Time RRF

241 215 C 259W 99

C 400W 410

Run TimeRRR

125 83 77 100

RR Antenna 12 11 11 13

Run TimeFix Wire

883 659 C 659 W 425

C 987W 1040

Fix DRC -------- 75 75 72

Run Time Total

883 970 C 680W 550

C 1087W 1120

Page 16: Routing Evaluations

Conclusion

The right configurations and the right script gave considerably better results. Talus version 1.0.82 did not show much benefit though. Enabling sub grid routing reduced the

DRC count. Configuring enhanced access points also improved results. Allowed via overhang rotation increased via count considerably and did not make much changes in the DRC count. Changing the dimensions of the overhang according to the Fabrication requirements increased the via count marginally but considerably increased the DRC

count.