lecture2 vhdl refresher

72
ECE 448 – FPGA and ASIC Design with VHDL George Mason University VHDL Refresher Lecture 2

Upload: nima-shafiee

Post on 22-Mar-2017

31 views

Category:

Engineering


3 download

TRANSCRIPT

Page 1: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL George Mason University

VHDL Refresher

Lecture 2

Page 2: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 2

Required reading

• M. Zwolinski, Digital System Design with VHDLChapter 3, Combinational logic using VHDL gate modelsChapter 4, Combinational building blocks

• S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design

Chapter 2.10, Introduction to VHDL

Page 3: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 3

Recommended reading

• S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design

Chapter 5.5.4, Arithmetic Assignment Statements

Additional material required during the first lab experiment

Page 4: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 4

Recommended reading

• Wikipedia – The Free On-line Encyclopedia

VHDL - http://en.wikipedia.org/wiki/VHDL Verilog - http://en.wikipedia.org/wiki/Verilog

Page 5: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 5

Brief History of VHDL

Page 6: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 6

VHDL

• VHDL is a language for describing digital hardware used by industry worldwide

• VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language

Page 7: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 7

Genesis of VHDL

• Multiple design entry methods and hardware description languages in use• No or limited portability of designs between CAD tools from different vendors• Objective: shortening the time from a

design concept to implementation from 18 months to 6 months

State of art circa 1980

Page 8: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 8

A Brief History of VHDL

• June 1981: Woods Hole Workshop• July 1983: contract awarded to develop VHDL

• Intermetrics• IBM• Texas Instruments

• August 1985: VHDL Version 7.2 released• December 1987:

VHDL became IEEE Standard 1076-1987 and in 1988 an ANSI standard

Page 9: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 9

Four versions of VHDL

• Four versions of VHDL:• IEEE-1076 1987• IEEE-1076 1993 most commonly supported by CAD tools• IEEE-1076 2000 (minor changes)• IEEE-1076 2002 (minor changes)

Page 10: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 10

Verilog

Page 11: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 11

Verilog• Essentially identical in function to VHDL

• No generate statement• Simpler and syntactically different

• C-like

• Gateway Design Automation Co., 1985• Gateway acquired by Cadence in 1990• IEEE Standard 1364-1995• Early de facto standard for ASIC programming

• Programming language interface to allow connection to non-Verilog code

Page 12: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 12

VHDL vs. Verilog

Government Developed

Commercially Developed

Ada based C based

Strongly Type Cast Mildly Type Cast

Case-insensitive Case-sensitive

Difficult to learn Easier to Learn

More Powerful Less Powerful

Page 13: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 13

How to learn Verilog by yourself ?

Page 14: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 14

Features of VHDL and Verilog

• Technology/vendor independent

• Portable

• Reusable

Page 15: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 15

VHDL Fundamentals

Page 16: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 16

Naming and Labeling (1)

• VHDL is case insensitiveExample:

Names or labelsdatabusDatabusDataBusDATABUS

are all equivalent

Page 17: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 17

Naming and Labeling (2)

General rules of thumb (according to VHDL-87)

1. All names should start with an alphabet character (a-z or A-Z)

2. Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_)

3. Do not use any punctuation or reserved characters within a name (!, ?, ., &, +, -, etc.)

4. Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid)

5. All names and labels in a given entity and architecture must be unique

Page 18: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 18

Valid or invalid?

7segment_displayA87372477424Adder/Subtractor/resetAnd_or_gateAND__OR__NOTKogge-Stone-AdderRipple&Carry_AdderMy adder

Page 19: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 19

Free Format

• VHDL is a “free format” language No formatting conventions, such as spacing or

indentation imposed by VHDL compilers. Space and carriage return treated the same way.Example:

if (a=b) thenor

if (a=b) then or

if (a =b) then

are all equivalent

Page 20: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 20

Readability standards

ESA VHDL Modelling Guidelinespublished by

European Space Research and Technology Centerin September 1994

available at the course web page

Page 21: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 21

Comments

• Comments in VHDL are indicated with a “double dash”, i.e., “--”

Comment indicator can be placed anywhere in the line

Any text that follows in the same line is treated as a comment Carriage return terminates a comment No method for commenting a block extending over

a couple of linesExamples:-- main subcircuitData_in <= Data_bus; -- reading data from the input FIFO

Page 22: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 22

Comments

• Explain Function of Module to Other Designers

• Explanatory, Not Just Restatement of Code• Locate Close to Code Described

• Put near executable code, not just in a header

Page 23: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 23

Design Entity

Page 24: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 24

Example: NAND Gate

a b z0 0 10 1 11 0 11 1 0

a

bz

Page 25: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 25

Example VHDL Code• 3 sections to a piece of VHDL code• File extension for a VHDL file is .vhd• Name of the file is usually the entity name (nand_gate.vhd)

LIBRARY DECLARATION

ENTITY

ARCHITECTURE

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Page 26: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 26

Design Entity - most basic building block of a design.

One entity can have many different architectures.

entity declaration

architecture 1

architecture 2

architecture 3

design entity

Design Entity

Page 27: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 27

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC

);END nand_gate;

Reserved words

Entity name Port names Port typeSemicolon

No Semicolon after last port

Port modes (data flow directions)

Entity Declaration

• Entity Declaration describes the interface of the component, i.e. input and output ports.

Page 28: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 28

ENTITY entity_name IS PORT ( port_name : port_mode signal_type; port_name : port_mode signal_type; …………. port_name : port_mode signal_type);END entity_name;

Entity declaration – simplified syntax

Page 29: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 29

a

EntityPort signal

Driver residesoutside the entity

Port Mode IN

Page 30: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 30

Entity

Port signal

Driver residesinside the entity

Output cannot be read within the entity

z

c <= z

c

Port Mode OUT

Page 31: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 31

Port signal

Entity

Driver residesinside the entity

Signal x can beread inside the entity

x

c

z

z <= xc <= x

Port Mode OUT (with extra signal)

Page 32: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 32

Entity

Port signal

Driver residesinside the entity

Port signal Z can beread inside the entity

c

z

c <= z

Port Mode BUFFER

Page 33: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 33

Signal can beread inside the entity

EntityPort signal

Driver may resideboth inside and outsideof the entity

a

Port Mode INOUT

Page 34: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 34

Port Modes - SummaryThe Port Mode of the interface describes the direction in which data travels with respect to the component

• In: Data comes in this port and can only be read within the entity. It can appear only on the right side of a signal or variable assignment.

• Out: The value of an output port can only be updated within the entity. It cannot be read. It can only appear on the left side of a signal assignment.

• Inout: The value of a bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment.

• Buffer: Used for a signal that is an output from an entity. The value of the signal can be used inside the entity, which means that in an assignment statement the signal can appear on the left and right sides of the <= operator

Page 35: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 35

Architecture

• Describes an implementation of a design entity

• Architecture example:

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Page 36: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 36

Architecture – simplified syntax

ARCHITECTURE architecture_name OF entity_name IS [ declarations ]BEGIN codeEND architecture_name;

Page 37: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 37

Entity Declaration & Architecture

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE dataflow OF nand_gate ISBEGIN

z <= a NAND b;END dataflow;

nand_gate.vhd

Page 38: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 38

Tips & Hints

Place each entity in a different file.

The name of each file should be exactly the sameas the name of an entity it contains.

These rules are not enforced by all toolsbut are worth following in order to increasereadability and portability of your designs

Page 39: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 39

Tips & Hints

Place the declaration of each port, signal, constant, and variable

in a separate line

These rules are not enforced by all toolsbut are worth following in order to increasereadability and portability of your designs

Page 40: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 40

Libraries

Page 41: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 41

Library Declarations

Use all definitions from the packagestd_logic_1164

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Library declaration

Page 42: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 42

Library declarations - syntax

LIBRARY library_name;USE library_name.package_name.package_parts;

Page 43: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 43

Fundamental parts of a library

LIBRARY

PACKAGE 1 PACKAGE 2

TYPESCONSTANTSFUNCTIONS

PROCEDURESCOMPONENTS

TYPESCONSTANTSFUNCTIONS

PROCEDURESCOMPONENTS

Page 44: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 44

Libraries

• ieee

• std

• work

Need to be explicitlydeclared

Visible by default

Specifies multi-level logic system,including STD_LOGIC, and STD_LOGIC_VECTOR data types

Specifies pre-defined data types(BIT, BOOLEAN, INTEGER, REAL, SIGNED, UNSIGNED, etc.), arithmetic operations, basic type conversion functions, basic text i/o functions, etc.

Current designs after compilation

Page 45: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 45

STD_LOGIC Demystified

Page 46: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 46

STD_LOGIC

What is STD_LOGIC you ask?

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE dataflow OF nand_gate ISBEGIN

z <= a NAND b;END dataflow;

Page 47: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 47

BIT versus STD_LOGIC

• BIT type can only have a value of ‘0’ or ‘1’• STD_LOGIC can have eight values

• ‘0’,’1’,’X’,’Z’,’W’,’L’,’H’,’-’• Useful mainly for simulation• ‘0’,’1’, and ‘Z’ are synthesizable

Page 48: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 48

STD_LOGIC type demystified

Value Meaning

‘X’ Forcing (Strong driven) Unknown

‘0’ Forcing (Strong driven) 0

‘1’ Forcing (Strong driven) 1

‘Z’ High Impedance

‘W’ Weak (Weakly driven) Unknown

‘L’ Weak (Weakly driven) 0.Models a pull down.

‘H’ Weak (Weakly driven) 1. Models a pull up.

‘-’ Don't Care

Page 49: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 49

More on STD_LOGIC Meanings (1)

‘1’

‘0’

‘X’

Contention on the busX

Page 50: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 50

More on STD_LOGIC Meanings (2)

Page 51: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 51

VDD

‘H’

‘0’

‘1’

‘L’

More on STD_LOGIC Meanings (3)

VDD

Page 52: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 52

More on STD_LOGIC Meanings (4)

•Do not care.•Can be assigned to outputs for the case of invalid inputs(may produce significant improvement in resource utilization after synthesis).•Use with caution ‘1’ = ‘-’ give FALSE

‘-’

Page 53: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 53

Resolving logic levels

X 0 1 Z W L H -

X X X X X X X X X0 X 0 X 0 0 0 0 X1 X X 1 1 1 1 1 XZ X 0 1 Z W L H XW X 0 1 W W W W XL X 0 1 L W L W XH X 0 1 H W W H X- X X X X X X X X

Page 54: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 54

STD_LOGIC Rules

• In ECE 448, use std_logic or std_logic_vector for all entity input or output ports• Do not use integer, unsigned, signed, bit for

ports• Can use them inside an architecture if desired• Can use them in generics

• Instead use std_logic_vector and a conversion function inside your architecture

Page 55: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 55

Modeling Wires and Buses

Page 56: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 56

Signals

SIGNAL a : STD_LOGIC;

SIGNAL b : STD_LOGIC_VECTOR(7 DOWNTO 0);

wire

a

bus

b

1

8

Page 57: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 57

Standard Logic VectorsSIGNAL a: STD_LOGIC;SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL c: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL d: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL e: STD_LOGIC_VECTOR(15 DOWNTO 0);SIGNAL f: STD_LOGIC_VECTOR(8 DOWNTO 0); ……….a <= ‘1’;b <= ”0000”; -- Binary base assumed by defaultc <= B”0000”; -- Binary base explicitly specifiedd <= ”0110_0111”; -- You can use ‘_’ to increase readabilitye <= X”AF67”; -- Hexadecimal basef <= O”723”; -- Octal base

Page 58: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 58

Vectors and Concatenation

SIGNAL a: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL c, d, e: STD_LOGIC_VECTOR(7 DOWNTO 0);

a <= ”0000”; b <= ”1111”; c <= a & b; -- c = ”00001111”

d <= ‘0’ & ”0001111”; -- d <= ”00001111”

e <= ‘0’ & ‘0’ & ‘0’ & ‘0’ & ‘1’ & ‘1’ & ‘1’ & ‘1’; -- e <= ”00001111”

Page 59: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 59

Fixed Rotation in VHDL

A(3) A(2) A(1) A(0)

A(2) A(1) A(0) A(3)

A<<<1

SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL ArotL: STD_LOGIC_VECTOR(3 DOWNTO 0);

ArotL <=

Page 60: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 60

Fixed Shift in VHDL

A(3) A(2) A(1) A(0)

‘0’ A(3) A(2) A(1)

A>>1

SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL AshiftR: STD_LOGIC_VECTOR(3 DOWNTO 0);

AshiftR <=

Page 61: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 61

VHDL Design Styles

Page 62: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 62

VHDL Design Styles

Components andinterconnects

structural

VHDL Design Styles

dataflow

Concurrent statements

behavioral(sequential)

• Registers• State machines

Sequential statements

Subset most suitable for synthesis

• Testbenches

Page 63: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 63

xor3 Example

Page 64: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 64

Entity xor3

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY xor3_gate IS PORT(

A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; Result : OUT STD_LOGIC

);end xor3_gate;

Page 65: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 65

Dataflow Architecture (xor3 gate)

ARCHITECTURE dataflow OF xor3_gate ISSIGNAL U1_OUT: STD_LOGIC;BEGIN

U1_OUT <= A XOR B;Result <= U1_OUT XOR C;

END dataflow;

U1_OUT

Page 66: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 66

Dataflow Description • Describes how data moves through the system and the various

processing steps. • Dataflow uses series of concurrent statements to realize logic. • Dataflow is most useful style when series of Boolean equations

can represent a logic used to implement simple combinational logic

• Dataflow code also called “concurrent” code• Concurrent statements are evaluated at the same time; thus, the

order of these statements doesn’t matter• This is not true for sequential/behavioral statements

This order…

U1_out <= A XOR B;

Result <= U1_out XOR C; Is the same as this order…

Result <= U1_out XOR C;

U1_out <= A XOR B;

Page 67: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 67

Structural Architecture (xor3 gate)ARCHITECTURE structural OF xor3_gate ISSIGNAL U1_OUT: STD_LOGIC;

COMPONENT xor2 PORT(

I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC

);END COMPONENT;

BEGINU1: xor2 PORT MAP (I1 => A,

I2 => B, Y => U1_OUT);

U2: xor2 PORT MAP (I1 => U1_OUT,

I2 => C, Y => Result);

END structural;

ABC

Resultxor3_gate

I1I2

Y I1I2

Y

U1_OUT

PORT NAME

LOCAL WIRE NAME

Page 68: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 68

xor2

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY xor2 ISPORT( I1 : IN STD_LOGIC;

I2 : IN STD_LOGIC; Y : OUT STD_LOGIC);

END xor2;

ARCHITECTURE dataflow OF xor2 ISBEGIN

Y <= I1 xor I2;END dataflow;

xor2.vhd

Page 69: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 69

Structural Description

• Structural design is the simplest to understand. This style is the closest to schematic capture and utilizes simple building blocks to compose logic functions.

• Components are interconnected in a hierarchical manner.

• Structural descriptions may connect simple gates or complex, abstract components.

• Structural style is useful when expressing a design that is naturally composed of sub-blocks.

Page 70: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 70

Behavioral Architecture (xor3 gate)

ARCHITECTURE behavioral OF xor3 ISBEGINxor3_behave: PROCESS (A,B,C)BEGIN

IF ((A XOR B XOR C) = '1') THENResult <= '1';

ELSEResult <= '0';

END IF;END PROCESS xor3_behave;END behavioral;

Page 71: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 71

Behavioral Description

• It accurately models what happens on the inputs and outputs of the black box (no matter what is inside and how it works).

• This style uses PROCESS statements in VHDL.

Page 72: Lecture2 vhdl refresher

ECE 448 – FPGA and ASIC Design with VHDL 72

?