laporan count down

30
Elektronika Digital CountDown Timer Circuit I. Tujuan 1. Dapat menerapkan logika dasar dari suatu perangkat digital 2. Dapat merancang suatu sistem dengan menggunakan IC TTL 3. Mengetahui prinsip kerja Count Down berbasis rangkaian IC TTL. 4. Dapat mengaplikasikan dan mengkombinasikan cara kerja IC timer, IC counter, IC Decoder dan Display (Seven Segment). II. Deskripsi (Gambaran Sistem) Rangkaian CountDown Timer ini merupakan rangkaian penghitung mundur, misal mulai dari 99 - 00. Aplikasi rangkaian ini biasanya digunakan pada Rangkaian di Traffic Light (Lampu Lalu Lintas). Rangkain CountDown Timer ini terdiri dari IC Timer (IC Timer NE555), IC Counter (74LS192), IC Decoder (74LS47) dan yang terakhir Display (Seven Segment). Pada rangkaian ini memiliki dua display yaitu digit pertama merupakan puluhan dan digit kedua merupakan satuan. Untuk mengatur kecepatan clock (frekuensi) pada blok berikutnya diatur pada IC Timer. Untuk mengatur kecepatan clocking tersebut dengan mengatur nilai capasitor dan resistor pada blok IC Timer. Output dari IC Timer akan menjadi input bagi IC Counter.Dimana IC Counter ini akan menghitung clock yang diterima dari IC

Upload: habibah-zahra-faluqi

Post on 18-Feb-2015

1.018 views

Category:

Documents


21 download

DESCRIPTION

laporan

TRANSCRIPT

Page 1: Laporan Count Down

Elektronika DigitalCountDown Timer Circuit

I. Tujuan

1. Dapat menerapkan logika dasar dari suatu perangkat digital

2. Dapat merancang suatu sistem dengan menggunakan IC TTL

3. Mengetahui prinsip kerja Count Down berbasis rangkaian IC TTL.

4. Dapat mengaplikasikan dan mengkombinasikan cara kerja IC timer, IC counter, IC

Decoder dan Display (Seven Segment).

II. Deskripsi (Gambaran Sistem)

Rangkaian CountDown Timer ini merupakan rangkaian penghitung

mundur, misal mulai dari 99 - 00. Aplikasi rangkaian ini biasanya digunakan

pada Rangkaian di Traffic Light (Lampu Lalu Lintas). Rangkain CountDown

Timer ini terdiri dari IC Timer (IC Timer NE555), IC Counter (74LS192), IC

Decoder (74LS47) dan yang terakhir Display (Seven Segment). Pada rangkaian

ini memiliki dua display yaitu digit pertama merupakan puluhan dan digit kedua

merupakan satuan.

Untuk mengatur kecepatan clock (frekuensi) pada blok berikutnya diatur

pada IC Timer. Untuk mengatur kecepatan clocking tersebut dengan mengatur

nilai capasitor dan resistor pada blok IC Timer. Output dari IC Timer akan

menjadi input bagi IC Counter.Dimana IC Counter ini akan menghitung clock

yang diterima dari IC Timer. Pada IC Counter dapat di atur inputannya dengan

mengatur input (D0,D1,D2,D3) pada IC tersebut dengan diberi switch (dapat

dipilih input tersebut diberi logika 1 atau 0). Output dari IC Counter merupakan

bilangan biner, kemudian akan diterjemahkan kedalam bilangan desimal oleh

rangkaian decoder dan output dari decoder akan menjadi input pada seven

segment (display).

Gambar Rangkaian

Page 2: Laporan Count Down

Banyak sekali aplikasi dari rangkaian CountDown Timer, antara lain skenario

pewaktuan yang digunakan mengacu pada sistem penyalaan lampu lalu lintas yang akan

direncanakan, contoh pengaturan :

Lampu Durasi Selang Waktu

Merah 99 detik 99-00

Kuning 59 detik 59-00

Hijau 45 detik 45-00

Sebelumnya telah diketahui bahwa untuk memindah nyala lampu adalah dengan

mengubah kondisi persamaan pada flip-flop (toggle). Dan untuk mengubahnya, diperlukan

sinyal clock sebesar 1 gelombang. Dari teori dasar ini dapat dikembangkan lebih lanjut untuk

dapat mengontrol sistem flip-flop tersebut menggunakan sistem pencacah yang diset pada

waktu yang telah ditentukan di atas.

Untuk mengontrol flip flop sesuai waktu yang ditentukan, tidak mungkin dilakukan

dengan menyambung output pencacah ke input clock flip-flop secara langsung. Untuk itu

dibuat suatu gerbang logika yang hanya akan memberikan nilai 1 pada saat-saat yang

diperlukan dengan berdasar pada proyek ini, yaitu pada detik ke 99 , 59, dan 45.

Sistemnya pencacahannya seperti pada tabel di bawah .

Detik Biner

Puluhan Satuan

99 1010 1010

59 0101 1010

45 0010 0101

KUNING

59,69,49,39….005 - 4 -4 -3 …. 0

MERAH

99,98,97,96….009 - 8 -7 -6 …. 0

HIJAU

45,35,25,15….004 - 3 -2 -1 …. 0

Pada setiap perpindahan inilah input clock pada flip flop diberi sinyal sebesar 1 gelombang diskret, sehingga terjadi pula perpindahan nyala lampu ke giliran berikutnya.

Page 3: Laporan Count Down

Prinsip kerja :

Traffic light digital merupakan pengembangan sederhana dari rangkaian jam digital,

dengan sistem kontrol pencacah. Artinya perpindahan nyala lampu lalu lintas dikontrol

oleh rangkaian pencacah dengan dijembatani oleh rangkaian gate logika dan switching.

Gambar (A) merupakan diagram blok sederhana suatu sistem traffic light. Rangkaian

traffic dijalankan dengan 2 sumber tegangan. Vcc 5 volt untuk memberi daya pada

rangkaian digital, dan sebuah baterai 9 volt untuk memberi daya 4 lampu LED sekaligus.

Pada diagram blok di atas telah digambarkan hubungan antar sistem dan antar

subsistemnya. Mulai dari pewaktu memberi sinyal pulsa yang telah diperhitungkan sebesar

±1Hz dengan persamaan yang tercantum dalam datasheet IC NE555. Kemudian sinyal

yang dihasilkan dari output pewaktu ini digunakan sebagai input dalam rangkaian

pencacah. Pulsa 1 per detik dimasukkan ke pencacah naik yang mencacah naik dari 00

sampai 99 dan reset 00, akan tetapi angka yang dihitung mundur dapat diubah-ubah sesuai

yang kita inginkan. Kemudian pencacah detik didekode dan ditayangkan pada 7-segment.

Pada gambar di atas, rangkaian counter tidak hanya mencacah bilangan BCD untuk

ditayangkan pada display 7-segment, namun juga dipergunakan untuk membangkitkan

sinyal pemicu (trigger) rangkaian switch yang menggunakan IC flip-flop, sehingga flip-

flop akan berpindah keadaan(toggle) dari keadaan semula. Lalu output dari IC flip flop

akan menyalakan display LED dengan bantuan transistor yang mengalirkan arus dari

sumber tegangan baterai 9 volt ke LED. Jadi LED tidak diberi daya dari Vcc, melainkan

dari baterai 9 volt.

III. Blok Diagram

a. Penjelasan per blok

1. Block I (Rangkaian Timer)

Rangkaian timer berfungsi untuk membangkitkan sinyal diskret (pulsa)

secara konstan untuk kemudian digunakan sebagai sinyal clock pada rangkaian

counter. Rangkaian pewaktu (timer) menggunakan IC NE555 dalam mode

operasi astabil, pengaturan frekuensi yang dihasilkan dapat dilakukan dengan

mengganti resistor dan kapasitor yang terpasang dalam sistem ini.

Pada rangkaian ini, IC NE55 digunakan dalam mode operasi astable,

dengan adanya penambahan resistor 6.2KΩ di antara kaki threshold (6) dan kaki

Page 4: Laporan Count Down

trigger(2). Alasan pemasangan resistor dan kapasitor pada nilai yang tertera

adalah untuk menghasilkan frekuensi output yang diperlukan, yaitu sebesar ±1

Hz.

Sedangkan Vcc dipasang sebesar 5V, sesuai rentang kerja yang tertera

pada datasheet IC NE555 yaitu antara 4,5V – 16V.

R4

DC7

Q3

GND

1VCC

8

TR2

TH6

CV5

U3

NE555

R12200

R26200

R31.0K

R41.0K

D1LED-GREEN

D2LED-GREEN

C2100u

C3100u

R510K

D3

1N4001

Gambar. Contoh rangkaian pada IC NE555

Page 5: Laporan Count Down

Keterangan tentang IC NE555

Contoh perhitungan untuk menentukan frekuensi :

- Hitung besar nilai frekuensi pada IC Timer NE555, yaitu :

Diketahui : RA/1 = 2200Ω

RB/2 = 6200Ω

C = 100 μF

t 1=0.693 × ( R1+R2) . C

t 1=0.693 × (2200+6200 ) .100 .10−6

t 1=0.58 detik

t 2=0.693 × ( R2) . C

t 2=0.693 × (6200 ) .100 . 10−6

t 2=0.43 detik

T=t 1+ t2

¿0.58+0.43

T=1.01 detik

f = 1T

f = 11.01

f =0.99 Hz ≈ 1 Hz

Page 6: Laporan Count Down

Atau bisa menggunakan cara :

f = 1.49

( RA+2 RB ) C

f = 1.49

(2200+2(6200)) .100 .10−6

f =1,02 Hz ≈ 1 Hz

2. Blok II Counter (Pencacah)

Counter ( rangkaian logika sekuensial yang di bentuk dari flip-flop ).

Pencacah (counter) adalah suatu rangkaian yang mengubah sinyal input yang berupa

diskret menjadi biner BCD.

Mencacah dapat diartikan menghitung, hampir semua sistem logika

menerapkan pencacah. Komputer digit menerapkan pencacah guna mengemudikan

urutan dan pelaksanaan langkah – langkah dalam program. Fungsi dasar pencacah

adalah untuk “mengingat” berapa banyak pulsa detak yang telah dimasukkan kepada

masukkan. Sehingga pengertian paling dasar pencacah adalah system memori.

Fungsi Counter adalah menghitung banyaknya detak pulsa dalam satu periode waktu,

membagi frekuensi, pengurutan alamat.

Dalam rangkaian ini menggunakan jenis pencacah decade. Pencacah ini

menghasilkan kode bilangan dalam bit biner, dan akan menghitung

sampai dengan batas yang ditentukan. Salah satunya adalah pencacah 74LS190 BCD

counter, pencacah ini akan menghasilkan bilangan decimal 0 – 9. dengan demikian

pencacah ini bisa digunakan untuk menghitung maju dari 0000 – 1001, lalu kembali

lagi maupun menghitung mundur.

Dalam rangkaian ini menggunakan down counter dengan IC 74LS192

UP/DOWN COUNTER BCD DECADE (8421). Dimana IC 74LS192 merupakan IC

yang bisa digunakan dalam Up maupun down counter (pencacah 10 (8421)) yang

berfungsi mengubah dari sinyal biner menjadi sinyal desimal..Dan merupakan

pencacah jenis asinkron. Dalam IC 74LS192 terdapat empat JK Flip- Flop. Counter

ini bisa diatur outputannya dengan menambahkan OR Gate yang berfungsi untuk

mengatur input dari decoder yang kemudian menuju seven segment supaya bisa di

atur sesuai dengan keinginan kita maksimal angka yang diinginkan. Selain menambah

OR Gate untuk mengatur outputan diperlukan switch.

Page 7: Laporan Count Down

Gambar Logic Diagram IC 74LS192

Page 8: Laporan Count Down

Gambar Diagram Ring Counter IC 74LS192

Page 9: Laporan Count Down

U6

OR

U7

OR

U8

OR

SW1

SW-SPDTSW2

SW-SPDTSW3

SW-SPDTSW4

SW-SPDT

D015

Q03

D11

Q12

D210

Q26

D39

Q37

UP5

TCU12

DN4

TCD13

PL11

MR14

U12

74LS192

RW1.0K

RR1.0K

D1LED-GREEN

Gambar pada Proteus Rangkain pada Block II (CountDown Timer)

3. Blok III Decoder

Decoder adalah rangkaian logika yang menerima input-input biner dan

mengaktifkan salah satu output-nya sesuai dengan urutan biner input-nya. Rangkaian

dekoder mempunyai sifat yang berkebalikan dengan enkoder yaitu merubah kode biner

menjadi sinyal diskrit.

Gambar Rangkaian Dekoder

Page 10: Laporan Count Down

Pemilihan decoder yang akan digunakan tergantung dari 7 segment yang kita

gunakan, untuk praktikum kali ini kelompok kami menggunakan 7 segment dengan tipe

common-anode sehingga kami memilih decoder (IC) 74LS47. Dekoder memiliki 7

keluaran yang masing-masing keluaran memiliki fungsi tertentu. Kita dapat mendapatkan

7 buah fungsi Boolean a, b, c, d, e, f, dan g dengan membuat peta Karnaugh atau

menggunakan data sheet IC yang kita gunakan. Berikut tabel kebenaran IC 74LS47 :

Tabel Kebenaran IC 74LS47

Dari tabel kebenaran diatas dapat disusun sebuah gerbang logika yang kemudian

gerbang logika tersebut akan digunakan sebagai decoder BCD to 7-segmet.

Page 11: Laporan Count Down

Gerbang Logika IC 74LS47

Gambar IC 74LS47

Bila dilihat dari gambar diatas IC 7447 diatas, inputan dari IC memiliki 3 masukan

ekstra yaitu masukan lamp test, blanking input/ripple blanking input dan ripple blanking

input.

a. LT’, lamp test berfungsi sebagai mengeset display bila diberi logika ‘0’ maka

semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan

menunjukka angka delapan (8).

b. BI’/RBO’, blanking input/row blanking output berfungsi sebagai untuk mematikan

keluaran dari IC. Bila diberi logika ‘0’ maka semua keluaran dari IC akan berlogika

‘1’ dan seven segment akan mati.

Page 12: Laporan Count Down

c. RBI’, row blanking input berfungsi untuk mematikan keluaran dari IC jika semua

input berlogika ‘0’. Bila diberi logika ‘0’, diberi logika ‘1’ dan diberi logika ‘0’

maka semua keluaran IC akan berlogika ‘1’dan seven segment akan mati.

Hubungan antara kode-kode biner A,B,C dan D dengan bilangan decimal adalah A

sebagai LSB dan D sebagai MSB. Jadi boleh dituliskan D C B A. Nilai bilangan decimal

(BCD) pada input D C B dan A akan diperagakan pada 7 segment sesuai dengan pola

angka-angka decimal (dari 0-9).

Perhitungan resistor outputan dari IC menuju 7 segment

1. Hitung besar resistor yang diletakkan pada outputan IC, yaitu:

V out IC=4,2V (data sheet)

I 7 segment=10 mA (data sheet )

R=V out IC−V 7 segment

I 7 segment

=4,2V −2,1 V10 mA

¿210 ohm 270 ohm

Penggunanaan Resistor 270 ohm digunakan untuk menyesuiakan tegangan dari IC ke

7 segment.

Gambar dari Proteus untuk Blok III (Decoder)

4. Blok IV Display (Seven Segment)

Seven segment display adalah sebuah rangkaian yang dapat menampilkan angka-

angka desimal maupun heksadesimal. Seven segment display biasa tersusun atas 7 bagian

Page 13: Laporan Count Down

yang setiap bagiannya merupakan LED (Light Emitting Diode) yang dapat menyala. Jika 7

bagian diode ini dinyalakan dengan aturan yang sedemikian rupa, maka ketujuh bagian

tersebut dapat menampilkan sebuah angka heksadesimal.

Seven-segment display membutuhkan 7 sinyal input untuk mengendalikan setiap

diode di dalamnya. Setiap diode dapat membutuhkan input HIGH atau LOW untuk

mengaktifkannya, tergantung dari jenis seven-segmen display tersebut.

Ada dua tipe 7 segment yaitu :

1. Tipe common anoda

Untuk 7 segment bertipe common-anode, maka dibutuhkan sinyal HIGH untuk

mengaktifkan setiap diodenya.

2. Tipe common katoda

Untuk 7 segment bertipe common-chatode, maka dibutuhkan sinyal LOW untuk

mengaktifkan setiap diodenya.

Gambar 7 Segment

Page 14: Laporan Count Down

7 segment yang digunakan pada praktikum ini adalah yang bertipe common-anode.

Untuk mengetahui kaki bagian mana dari 7 segment tersebut yang mewakili a, b,c ,d, e, f,

dan g adalah dengan menggunakan multimeter. Probe (+) diletakkan pada sisi tengan kaki

7 segment (atas maupun bawah) dan sisi lainnya di sembarang kaki 7 segment yang lain.

Lalu lihat bagian mana dari 7 segment tersebut yang menyala, maka kaki tersebut

mewakili begian dari 7 segment yang menyala.

Salah satu cara untuk menghasilkan sinyal-sinyal pengendali dari suatu seven

segment display yaitu dengan menggunakan sebuah sevent-segment decoder. Seven-

segment decoder membutuhkan 4 input sebagai angka berbasis heksadesimal yang

dinyatakan dalam bahasa mesin (bilangan berbasis biner) kemudian sinyal-sinyal masukan

tersebut akan “diterjemahkan” decoder ke dalam sinyal-sinyal pengendali seven-segment

display. Sinyal-sinyal pengendali berisi 7 sinyal yang setiap sinyalnya mengatur aktif-

tidaknya setiap LED.

A7

QA13

B1

QB12

C2

QC11

D6

QD10

BI/RBO4

QE9

RBI5

QF15

LT3

QG14

U2

7447

R1270

R2270R3270R4 270

R5

270

R6270R7 270

Gambar Blok IV (Display 7 Segment)

b. Korelasi antar blok (input-output)

Korelasi antar blok dimulai dari rangkaian timer, dimana rangkaian timer

sebagai sinyal diskret (pulsa) secara konstan untuk kemudian digunakan

sebagai sinyal clock pada rangkaian counter. Rangkian timer menggunakan

resistor 2200 Ω dan 6200 Ω, capasitor sebesar 100 micro farad. Dengan rumus

yang terdapat pada datasheet maka nilai frekuensi yang keluar dari rangkaian

timer sebesar 1 Hz. Keluaran dari rangkaian timer akan menuju k rangkaian

down counter (pencacah turun). Clocking tersebut berpengaruh terhadap

perubahan kecepatan yang merupakan keluaran dari rangkaian counter

tersebut. Rangkaian counter pada percobaan ini mengubah sinyal biner

Page 15: Laporan Count Down

menjadi sinyal decimal yang akan menjadi input bagi decoder. Decoder yang

digunakan pada rankaian ini merupakan pengubah sinyal biner menjadi sinyal

decimal , yang mana sebagai input seven segment (display).

IV. Desain Rangkaian

a. Desain dari Blok per Blok

Blok I (Rangkaian Timer) :

R4

DC 7

Q 3

GND

1VCC

8

TR2 TH 6

CV5

U3

NE555

RQ2200

RE6200

RW1.0K

RR1.0K

D1LED-GREEN

D2LED-GREEN

C2100u

C3100u

D3

1N4001

Page 16: Laporan Count Down

Blok II (Rangkaian CountDown Timer)

U6

OR

U7

OR

U8

OR

U9

OR

U10

OR

U11

OR

U13

OR

SW1

SW-SPDTSW2

SW-SPDTSW3

SW-SPDTSW4

SW-SPDT

D015

Q03

D11

Q12

D210

Q26

D39

Q37

UP5

TCU12

DN4

TCD13

PL11

MR14

U12

74LS192

D015

Q03

D11

Q12

D210

Q26

D39

Q37

UP5

TCU12

DN4

TCD13

PL11

MR14

U1

74LS192

SW5

SW-SPDTSW6

SW-SPDTSW7

SW-SPDTSW8

SW-SPDT

Rangkaian ini memperoleh input dari IC Timer yang berupa tegangan untuk mentriger

clock pada IC Counter. Fungsi IC Counter ini adalah untuk mencacah biner sehingga

menjadi naik atau turun dengan mendapat outputan dari IC Timer yang menjadi

inputnya.

Page 17: Laporan Count Down

Blok III (Rangkaian Decoder)

Page 18: Laporan Count Down

Blok IV (Rangkaian (Display) 7 Segment)

Page 19: Laporan Count Down

b. Uji Rangkaian secara keseluruhan

Untuk maksimal angka 99:

Page 20: Laporan Count Down

Untuk maksimal angka 59 :

Page 21: Laporan Count Down

Untuk maksimal angka 45 :

Page 22: Laporan Count Down

b. Alat dan Bahan

a. IC NE555 timer 1

b. IC 74192 (4 bit-ripple counter) 2

c. IC 7447 (BCD to 7-Segment Decoder/Driver) 2

d. 7-Segment Display Common Anoda 2

e. Resistor 2200 Ω 1

f. Resistor 6200 Ω 1

g. Resistor 1k Ω 1

h. Resistor 10k Ω 1

i. Resistor 270 Ω 4

j. Kapasitor 100µF 2

k. Dioda IN4001 4

l. LED hijau 2

m. Power Supply 1

Page 23: Laporan Count Down

V. Pembahasan rangkaian (Analisa)

a. Dalam IC Timer 555 diperlukan kapasitor dan resistor yang berfungsi sebagai pengatur kecepatan clock untuk mentrigger IC counter ( IC74LS192). Semakin besar nilai resistor atau kapasitor maka kecepatan clock akan semakin lambat begitu juga sebaliknya.

b. Nilai resistor yang dibutuhkan untuk menghubungkan rangkaian dekoder ke display seven segmen harus sesuai dengan perhitungan yaitu 270 Ω. Apabila nilai resistansinya terlalu kecil dapat menyebabkan seven segment panas dan cepat rusak karena tegangan yang masuk pada seven segmen terlalu besar, namun apabila nilai resistansinya terlalu besar maka tampilan seven segmen akan redup karena tegangan yang masuk dalam seven segmen terlalu kecil.

c. Untuk mengeset angka yang muncul pada 7 segmen display sesuai dengan yang dikehendaki dapat diatur dengan cara menambahkan switch (SPDT) pada input IC Counter.

d. Dibutuhkan OR Gate, untuk menstabilkan kondisi IC counter. Karena pada kondisi output tertentu Qa sampai Qd tidak mempengaruhi pentriggeran pada counter dan sebaliknya. Jika input pada OR gate berupa logika 1 dan 0, maka outputannya adalah logika 1 dan logika tersebut bisa mentrigger IC Counter.

e. Pada saat count down pada posisi nol, output dari counter Qa sampai Qd berada pada kondisi Low secara bersamaan dan kondisi reset terjadi pada keadaan tersebut. Sehingga memunculkan trigger awal untuk semua output sehingga count down dari angka 0 kembali ke angka 9.

VI. Kesimpulan

a. Untuk mengatur kecepatan clock (frekuensi), dapat diatur dengan cara mengatur nilai kapasitor dan resistor yang dipasang pada IC Timer NE555.

b. Untuk mengatur nilai maksimal yang dikehendaki pada 7 segmen display, kita dapat mengatur pada sisi input IC counter (D0,D1,D2,D3).

c. Pada sisi output IC Counter (puluhan) ditambahkan OR gate yang berfungsi untuk membalik logika input pada IC Counter (satuan).

d. Output dari IC Counter adalah angka biner sehingga diperlukan IC decoder 74LS47 untuk mengubah bilangan biner menjadi bilangan desimal agar dapat muncul pada display 7 segmen.