electronic design automation (eda) eda · eda vlsi design, physical design automation, design...

30
CAD-Techniken, 13.04.06 © LFA 1 Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA)

Upload: others

Post on 22-Aug-2020

81 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

1

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

EDA

VLSI Design, Physical Design Automation,

Design Styles

Electronic Design Automation (EDA)

Page 2: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

2

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Outline

• Introduction• VLSI Design Cycle

• Physical Design Cycle and Automation• Design Styles• Packaging

Outline

Page 3: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

3

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Electronic Design Automation (EDA)

• Also known as VLSI CAD.• It refers to the utilization of CAD techniques for VLSI design.

Electronic Design Automation (EDA)

• Complexity of current day electronic design (P4 : 55 million transistors, P4 EE: 178 million transistors).

– Manual design is unrealistic.

• Fewer errors.• Time to market.

Why EDA?

Page 4: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

4

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Industry perspective

• Major EDA tool vendors:– Synopsys, Cadence, Mentor graphics

• Major semiconductor design houses:– Intel, IBM, Motorola, Xilinx, Altera …

Industry perspective

Page 5: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

5

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

System specification: High level functional description (informal) of the design with size, speed, and power constraints.

Page 6: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

6

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Architectural design: Micro-architectural specification (informal) of the design with architecture style, number of ALUs, floating point units, number and structure of pipelines, and size of caches. → PCB: Library design.

Page 7: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

7

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Functional design: The functionality of each unit and their interconnection is described by a HDL. The area, power, and time constraints of each unit are identified. → PCB: Logic Blocks, Symbols

Page 8: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

8

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Logic design: Register transfer level (RTL) description of the design in HDL is generated. → It consists of boolean expressions and timing information. → PCB: Cell design

Page 9: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

9

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Circuit design: A circuit description in logic gates (or netlist) is developed. Automated circuit design is called logic synthesis. ..plus Simulation!→ PCB: Logic Design, Analog Design, Schematics

Page 10: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

10

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

An Example Netlist

Page 11: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

11

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Physical design: The circuit representation (or netlist) is converted into a geometric representation (the layout). → Automated physical design is called physical synthesis.→ PCB: PCB Design, -Layout and Routing

Backward Annotation

Forward Annotation

Page 12: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

12

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Physical Design Cycle

• Input: A netlist of gates (or blocks) and their interconnections.

• Output: A geometrical layout of the netlist within an area constraints.

• Other goals: Minimize signal delays, interconnection area, power, cross-talk.

Automatic check of design rules

Physical Design Cycle

Page 13: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

13

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Fabrication: After a layout is generated the design is ready for actual fabrication or manufacturing.→ PCB: Gerber Files, Production Data, Layout Masks

Page 14: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

14

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

VLSI Design Cycle

System Specification

Architectural Design

Functional Design

Logic Design

Circuit Design

Physical Design

Fabrication

Packaging andTesting

Packaging, Testing and Debugging: The fabricated wafer is diced into individual chips that are then packaged, tested, and debugged.→ PCB: This part is integrated in the previous steps, except testing

Page 15: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

15

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Packaging

• Printed Circuit Boards– Packaged chips are soldered on a substrate.– Larger wire delays, lower integration.

• Multichip Modules (MCM)– Un-packaged chips are soldered on a substrate.– Medium wire delays, medium integration.

• Wafer Scale Integration– Multiple chips are fabricated on a single wafer.– High performance, high integration, lower yields.

Packaging

Page 16: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

16

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

New Trends in VLSI Design

• Increased interconnect delay: interconnect not scaling at the same pace as the device.

• Increasing interconnect area: Upto 40 % of the area devoted to interconnect.

• Increasing number of metal layers: Upto 5 layers for microprocessors.

• Increasing planning requirements: Physical design early on in the design cycle.

• Automated synthesis: Logic and high-level.

Major requirement: Physical design automation -the computer-aided physical design cycle.

→ PCB: Autoplacement, Autorouting

Page 17: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

17

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

An Example Layout

Page 18: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

18

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

An Example Layout

Page 19: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

19

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

An Example Layout

Page 20: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

20

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Physical Design Cycle

• Partitioning: Divide the net-list into sub-sets.

• Floorplanning and placement: Determine the dimensions of the various units and their placement.

• Global routing: Determine the regions through the chip that the wires or net would be routed.

Major Tasks of Physical Design (1)

Page 21: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

21

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Physical Design Cycle

• Detailed routing : Determine the actual layout of the nets within each routing region.

• Compaction : Compress the layout to reduce the area.

• Extraction and verification : Design rule checking for ensuring that the layout meets the fabrication constraints. Extraction and simulation against previous specification.

Major Tasks of Physical Design (2)

Page 22: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

22

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Design Styles

• Full Custom– Utilized for large production volume chips such as microprocessors.– No restriction on the placement of functional blocks and their

interconnections.– Highly optimized, but labour intensive.

• Standard Cell– Utilized for smaller production ASICs that are generated by synthesis

tools.– Layout arranged in row of cells that perform computation.– Routing done on “channels” between the rows.

(V)LSI Design Styles (1)

Page 23: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

23

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Full Custom Layout

Full custom layout of an 8-Bit Multiplier

Page 24: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

24

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Standard Cell Layout

Page 25: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

25

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Standard Cell Layout

Page 26: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

26

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Design Style

• Gate Arrays– Pre-fabricated array of gates (could be NAND).– Design is mapped onto the gates, and the interconnections are routed.

• Field programmable gate arrays– Pre-fabricated array of programmable logic and interconnections.– No fabrication step required.

• Sea of gates– Pre-fabricated sea of gates with no area for routing.– Simpler gates with very high density.– Routing through gates or by fabricating over the cell routing.

(V)LSI Design Styles (2)

Page 27: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

27

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Field Programmable Gate Array (FPGA)

Page 28: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

28

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Configurable Logic Block

Page 29: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

29

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Design Style Comparisons

LowMediumMediumHighDesign cost

Prog.VariableVariableVariableInterconnections

FixedFixedIn rowVariableCell placement

Prog.FixedVariableVariableCell type

FixedFixedFixed heightVariableCell size

FPGAGate ArrayStandard Cell

Full Custom

STYLE

Page 30: Electronic Design Automation (EDA) EDA · EDA VLSI Design, Physical Design Automation, Design Styles Electronic Design Automation (EDA) C A D-T e c h n i k e n, 1 3. 0 4. 0 6

CA

D-T

ech

nike

n,

13

.04.

06

© L

FA

30

Prof. Dr.-Ing. Reinhard Möller Automatisierungstechnik / Prozessinformatik Bergische Universität Wuppertal

Design Style Comparisons

NoneRoutingAll LayersAll LayersFabricate

LowModerateHigh to ModerateHighPerformance

LargeModerateCompact to Moderate

CompactArea

FPGAGate ArrayStandard CellFull Custom

STYLE