arhitektura racunara - skripta

39
i I l_ I L t_ I L- l l I I t_ I l_ I I Arhitekrura ralunara (pregled principa i evolucije) Skripta 201-1- Skripta je radena na osnovu pitanja sa kraja svake lekcije iz knjige "arhitekrura radunara (pregled principai evolucije) verzija 7 201L' t_ I L t_ ! L I l : I \ I i t- I L- SkriPtu su Pravili studenti Skripta nije namenjena za direkuro ucenje nego samo za provem nekih piunja ukoliko niste sigumi Potrebno je procitati knjigu pre negosto Isenete sa ovom slciptom Rakita Dragan Stupar Goran,Pau5i6 Filip,Danilo Trifunjagif,Atelsandar Beserminji,stefan Pijetlovi6

Upload: dejan-c

Post on 23-Oct-2015

1.028 views

Category:

Documents


72 download

DESCRIPTION

Arhitektura racunara - skripta (FTN)

TRANSCRIPT

Page 1: Arhitektura racunara - skripta

i

I

l_

IL

t_

I

L-

l

l

I

I

t_

I

l_

I

I

Arhitekrura ralunara(pregled principa i evolucije)

Skripta 201-1-

Skripta je radena na osnovu pitanja sa kraja svake lekcije iz knjige

"arhitekrura radunara (pregled principai evolucije) verzija 7 201L't_

IL

t_

!

L

I

l

:

I

\

I

it-

I

L-

SkriPtu su Pravili studenti

Skripta nije namenjena za direkuro ucenje nego samo za provem nekih piunja ukoliko niste sigumi

Potrebno je procitati knjigu pre negosto Isenete sa ovom slciptom

Rakita Dragan Stupar Goran,Pau5i6 Filip,Danilo Trifunjagif,Atelsandar Beserminji,stefan Pijetlovi6

Page 2: Arhitektura racunara - skripta

1. UVOD;

I

Vt. st, obuhvata pojam arhiteknrre racunara?Pojam arhitetmie iocunoro obuhvata arhitekturu naredbi i njenu implementaciju, odnosno

organizaciju i izvedbu racurara.

t{ Z. tcoii prosti ( osnovni ) tipovi postoje?

Procedumi programski jezici omogucuju opisivanje obrada podataka koji pripadaju celom, realnom,

, znakovnom ili logickom skupu. Ovi skupovi se nazivaju i prosti tipovi.\Iu 3. Koli su osnovni elementi procedurnih programskih jezika?

Promenjive, vrednosti prostih tipova i operacije ( uUgvlja{\e i one za rukovanje promenjivim iwednostima prostih tipova).

\tJ+. str obuhvata model racuuara? .0t "tJT€k1.t t\ pl,,rl r1f*

, Model radunara obuhvata riiemoriju i procesor.i

- --- ''f u) I 7t>'//tt'A '^ \{ 5. Sta karakterise memoriju? lri z^- uue >e ti't'>tit', **4turpf 'tttL)

Memorij a j e sastavlj ena od nizfl_q[qgij,,.

r iz. sai karakterise procesor? L{l it't.{' r':i'''r*

Procesor je sastavljeq.gd sklopo-v-a i 5-g5;ga

I

1/4. Xoia adresiranja postoje? : tNltfit:i'7vrJ

'Pbrtoii nepoirdEfrti, direktno,registarsko,posredno i indeksno adresiranje. .

', if sJsa. ulazi u sastav masinske naredbe?",-(od narpdbe sa operandima naredbe obrazuju ma5insku naredbu-\ !^paq^ clr/.\ r.

,NfO. Sta ulazi u sastav asemblerske naredbe?

-t Qimbglidka predstava malinske naredbe ( u kojoj se koriste labele, kao i sirnbolidke oznake ta operaciju

, i operande) se naziva asemblerska naredba.

\r.l rr. Kakav zadatak ima asembler?

Prevodjenje asemblerskog programa naredbi u masinski program, sastavljen od masinskih naredbi,

obavlja poseban programski prevodilac, koji se naziva asembler.iI

\ / 12.Kakav zadatak ima kompajler?V Zadatak kompajlera je da izvorni kod prevede u asemblerski ( masinski ).

--Jt td,. Sta omogucuje podudarnost cifara binarnog brojnog sistema i logickih wednosti?'?6dud"most cifarabina:nog brojnog sistema i logickih wednosti omogucuje da se arinneticke

operacije za binami brojni sistem opisu logickim funkcijama, ako se binarne cifre interprettaju kao

Page 3: Arhitektura racunara - skripta

Iogicke wednosti.

\ i 14.Koja logicka funkcija opisuje zbir dve binarne cifre?t' Loglcko i (and) i iskljuEivo ili (exclusive or)./* --- >'

---***=- _!r.ram-.

, 15. Sta odredjuje wednost prekidacke funkcije!\rffrednost prekidacke funkcije odredjuje stanje prekidaca, odnosno nivo signala na upravljackom izvodu

tranzistora.

.,.L6. Na sta utice wednost prekidackog argumenta?VN. vrednost prekidackog aigumenta uticu argumenti prekidacke funkcije,pa na taj nacin omogucuju

i upravljanje prekidacima. srutr'A 8I

'l tz.Sta omogucuju sekvencijalna ko!a?Sekvencijalna kola omogucavaju pamcenjelqglqljh wednosti, odnosno binarnih cifara.izlazsekvencijllnog kola n. r*iri.ri*o od ulazfnego i oa njegovog stanja. Lokacije se prave pomo6u

sekvencijalnih kola

i\t

"'., $i. Sta omogucuju kornbinaciona kqla!;_mip."qgsslg prave se pomocu kombinacionih kola koja ostvaruju pojedine logicke funkcije.Izlas"kombinacionih kola zavisi samo od ulaza.

i

2. BROJNII SISTENII i PREDST'AVE BROJEVA

L. Sta omogucava komplement predstava oznacenih brojeva?Komplement predstava oznacenih brojeva nam omogucava da Pg?gT9 da sabiramo brojeve bez

obraianja paznie na predznak opurrnidr. i eos;'ataxrnv$'U't€' ?Eookro tL

2. Kako komptrement predstava pojednostavljuje procesor?

Komplement predstava pojednostavljuje procesor jer omogucava da se aritmetika oznacenih celihbrojeva obavlja kao i aritmetika neoznacenih celih brojeva ( znaci bez posmatranja predznaka

brojeva ).

3. Kada se (ne) zanemeruje prenos sa najznacajnije pozicije prilikom aritrnetike celih brojeva?Prilikom aritnetike s a frrf znadenim broj evima.

4. Sta ukazuje na izlazak van opsega?

Kod neoznacenih celih brojeva do izlaska van opsega dolazi nakon prenosa sa najznacajnije poziciie,

dok kod oznacenih brojeva dolazi kada na najznacajnijoj poziciji je razlicit broj od onog koji je moguc

( u komplement predstavi l-0 mogu biti samo I i 0 )(Cary i overflow)

5. Koje delove sadrzi masinska norrnalizovana forma?Predznak realnog broja, njegovu frakciju i podeseni eksponent-

Page 4: Arhitektura racunara - skripta

6. Zasto je uvedena konstanta podesavanja (kako se ona koristi)?Konstanta podesavanja uvedena je u masinskoj normalizovanoj formi i koristi se da bi se ne bi moralo

vorliti r".*" o predznaku eksponenta i da bi olaksalo poredjenje masinskih normalizovanih formi.

7. Kako se obavlja aritrnetika brojeva predstavljenih masirrskom normalizovanom formom?

U aritmetici normalizovane forme, predzqak, podeieni eksponenti i frakcije se posmatraju odvojeno.prvo se odredi predznak razultata. Sabiranje i oduzimanje zapodinje izjednadavanjem eksponenata (radi

ispravnog potpisivanja frakcije). Uvek se manji eksponent izjednadava sa vedim, uz Pomeranje _

decimalne t"Ek. u nitci;i I, eventualno, odbacivanje prekobrojnih cifara. Zatim sledi sabiranje ilioduzimanje frakcije I, po potrebi, norrnalizacija rezultata-

g. Gde dolazi do izlaska van opsega u aritrnetici masinske normalizovane forme (u eksponentu ilifrakciji)?U arimretici normalizovane forme do izlaska van opsega dolazi samo u eksponentu jer se prekobrojne

cifre frakcije odbacuju.

9. Gde se odbacuju prekobrojne cifre u aritmetici masinske normalizovane forme ( u eksponentu

ili frakciji)?U frakciji

10. Kako se obavljaju konverzije o-rojeva iz decimalnog u binarni brojni sistem i obrnuto?

Biname cifre levo odzarezarastu kvadriranjem a desno odzarezaopadaju deljenjem sa dva.

Tako da ( desno binarni levo decimalni ):1,.1=> 1.50

ffiS\>1s0.01!-:<F-/

-10:0625 => 1010.000Ltj cifre desno od nule iznose redom 0-50,0.25, 0.125, 0.0625.-.

Iz decimalnog u binami deljenjem sa 2 i posmatranjem ostatka.

Iz binarnog u decimalni mnozenjem sa 2 odgovarajuceg bita.

I-1. Koji binami broj se dobije nakon konverzije decimalnog broja 4.25?

Dobiie se.100.01

12. Koji decimalni broj se dobije nakon konverzije binarnog broja 10q:01? -4 :&n'lfi Y-!: q!' ) b' :

1 4' A

13. Koji heksadecimalni broj se dobije nakon konverzije binarnog broja OOf1ffi = ffi0001 => L =>i1

1010 => 10 => ADobije se 1A

4:2- ' !' ":ril ? :4 ::

-'i i: $*i

ii u l.1'}:ttfuo.J o,{'x,4 t/t /1,:lt v

14. Koji binarni broj se dobije nakon konverzije broia 1A?

0X1=> 0001OxA => 1010Dobije se 000{.010

I ,\,o,r,ti:t \{;ir-l

,/,1 ,)15. Koji je komplement 2 predstava binarnog broja -100?

-0100 => 1j11 dodamo 1 =rj19o i'{

Page 5: Arhitektura racunara - skripta

.,€f rc.O^li se prilikom sabiranja binarnih brojeva 01000100 i 01110000 iavtja ulazakvan opsega

" (r obe interpretacije ovih brojeva )?Ako se ova dva broja posmatraju kao neoznacene nemamo izlazakvan opsega.

Ako se posmaraju kao oznaceni imamo izlazakvan opsega'

17. Na osnovu cega se odredjuje vazenje relacija izmedju (ne)oznacenih brojeva?

Na osnovu odizimanja i gledanja:

L.Da li je razlika jednaka nuli ili razlicita od nule

Z.Da li je razlika negativna ili pozitivna,3.Da li se, pri oduzimanju neoinacenih celih brojeva, javlja izlazakvan opsega u obliku prenosa

ili ne, odnosno,(4.Da Ii, pri oduzimanju oznacenih celih brojeva, razlika izlazi van opsega ili ne.)

18. Koja je masinska normalizovana forma MNF8) binarnog broja -100.01

Jlq10000MNF8

1g. Koji binarni broj odgovara masinskoj normalizovanoj forrni 11010010m,F8?

-00101 => l-1011- (komplement 2 predstava) -> -5 (decimalno)

Page 6: Arhitektura racunara - skripta

3. ASEMBLERSKO PROGRAMIRANJE

1. Koji programski jezici niskog i visokog nivoa postoje? .

Procedumi programski jezici se svr5tavaju u grupu programskih jezika visokog nivoa, dok se maiinskii asemblerski jezici swStavaju u grupu programskih jezika niskog nivoa.

2. U cemu se razlikuju asemblerski i ma5inski jezici?

Asemblersko programiranje se zasniva na kori56enju asemblerskih naredbi, odnosno ono nam uvodi

neki nivo apstrakcije koja podrazumeva zanemarivanje malinskog formata naredbi dije je poznavanje

neophodno za malinsko programiranje, ali nebitno za asemblersko.

3.Kada je opravdano koriSdenje asemblerskog i maSinskog jezika?

MaSinske jezike je opravdano koristiti samo ako ne postoje prevodioci za asemblerske ili proceduralne

programske jezike (asembleri i kompajleri), kao i za pravljenje pomenutih prevodioca. Sa druge strane

aseblerske jezike je opravdano koristiti, ne samo kada ne postoje prevodioci za proceduralne

programske jezike kao i u sluEajevima kada se oni prave, ve6 i u sludajevima koji zahtevaju da se

moguinosti raEunara iskoriste do krajnjih granica (za Sta je neophodno vladati arhitektruom naredbi

koju sakrivaju programski jezici visokog nivoa).

4. Koliki adresni prostor omogudavaju 2 bita?2 bita omogufavaju adresni prostor od 4 lokacije, dok n bita omoguiavaju adresni prostor od 2o

lokacija.

5.I(oje naredbe omogufuiu aritmetiku u vGestrukoj preciznosti?Naredbe koje omoguduju arituretilm u viSestukoj preciznosti su:

Na na5em procesoru koncepti, nijedna. Ukoliko je potebno vriiti aritnetiku u viSestrukoj preciznosti

po6ebo je delove operlmada duvati u posebnim lokacijama i obavljati aritmetide operacije celih brojeva

poput SABERI i SABER_P za deo po deo ovih wednosti (iduii od manje znaEajnih ka znadajnijimdelovima wednosti)

6. Koje naredbe u toku svog izvr5avanja ne menjaju uslovne bite?Naredbe prebacivanja kao i upravljadke naredbe (uslovne i bezuslorme) ne menjaju uslovnje bite, koje

inaEe obeleZavamo sa N (nula), M(minus), P(prenos),V (van-opsega)-

7. Koje aritmetiEke naredbe postoje?Medu ariunetidke naredbe ubrajamo slede6e: SABERI, SABERI-R DODAJ-I, ODUZMI,ODUZMI_P, ODBU_I i UPOREDI.

8. Koje naredbe za rukovanje bitovima postoje?

Naredbe za rukovanje bitovima: I, ILI, NE kao i LEVO i DESNO.

9. Koje upravljaike naredbe postoje?Upravlj aEke naredbe : SKOC IJ e -==, SKOCI- Z A-!=, S KOCI-ZA-<, SKOCI,f A-(=,sxoei_ze_>, sKoeI_zA_)=, SKoeI-zA-+/--<, sKOelJA,-+t--<=, SKoeT-7. A-+l-->,S xo dlza_+ I - _) =, S Ko e I_ZA_M, SKo CLZA-NE-M, S KoeI-7.A-Y SKO eI-ze-lrE-VsKoeI_zA_N, SKOCI_ZA-NE_N, SKOCI_ZA_P, SKOaI_ZA_NE_R SKOdI, POZOVI i NATAG.

Page 7: Arhitektura racunara - skripta

10. Koje kombinacie operanada koriste naredbe prebacivanja?

Nare dbe prebacivanj., pREeeCI-RR, PREBACI-NR, PREBACI-DR, PREBACI-PR, PREB ACI-IRPREBACI-RD, PREBACI_RP, PREBACI-RI.Dak1e od I kombinacija fali samo jedna i to PREBACI-RN, jer to jednostavno nema smislii .

11. Koje naredbe omogu6uju otrkivanje izlaska van opsega?

U sluiiju neoznadenih lelihLrojeva (samo pozitivnih) SKOCI-ZA-P, u sluiaju oznadenih celih

broleva (i pozitivnih i negativnih) SKOaI-ZA-V (ier se podrazumeva komplement 2 predstava

oznadenih brojeva).

12. Koje naredbe imaju dva operanda?pored aritmetidkih n"rudbi SABERI, SABERI-P, ODUZMI, ODUZMI-P i UPOREDI, naredbe koje

imaju dva bajta su svih sedam naredbi prebacivanja (PREBACI-RR, PREBACI-NR, PREBACI-DR,

PREBACI_PR, PREBACI IR, PREBACI_RD, PREBACI_RP, PREBACI_Ri) KAO i dVC NATCdbC

rukovanja sa bitima i i ILI.

13. Koje naredbe irnaju jedara operand? _ y- _ , _

Pored upravljaikih naredbi SKOaI-ZA-==, SKOeI-ZA-I.;, SKOCI-ZA-<, SKOCr-7'A-(=,

iroei-ze-r, sKoel zA-)=, srcoet-ze-*/--<, sKodt-zhJl--<=, sKodT-7'A-+/-->,

S iiA ar_Z[.[ =, s xo elza-u, s Ko e I-7A-NE-M,- S Ko (I -z t-Y, sKo e I-zA-NE-Vixoer_Zfp, Exoet_zA_NE_N, sKoai-zA-P, sKoeI-zA-NE-P, sKoel i naredbi za rukovanje

sa bitovom" tgvo, DESNO i NE naredbe koje imaju samo jedan operand su ODUZMI-I i DODAJ-I

14. Koje naredbe su bez oPeranada?

Naredbe bez operanada je naredba NATRAG'

15. Koje asemblerske direktive postoje?

Asemblerske direktive koje smo do sada radili su ZUZ}y',I i NAPUNI i njih ne treba meSati sa

naredbama jer direktive upu6ujemo asembleru, a ne procesoru, i one nisu izwine.

16. Sta karakteriSe potprogram?potprogram karakteriSe n;.go, opis, koga prate pozivi potprograma. Razdvanjanjern opisa i poziva

potrpograma omoguiuje koriSdenje (poziv) potprograma samo na osnovu poznavanja njegove namene,

t., poinuraqa ni-egovog opisa. CipSiost opiiu potprograma daje upotreba parametara, koja omoguduje

da se potprogram o[norin. ,r. *.dnosti iz skoupa vrednosti, odredenog tipom Parametara. svako

izwiivanje potprograma se odnosi na konketne wednosti ovih parametara koje nazivamo

urgu*.rti*i i njih odredule poziv porprograma. Do-izwlavanja potprograma dolazi nakon njegovog

pJriu.. U pozivu potprograma se odredi powatna adresa od koje se nastavlja izwSavanje programa

nakon izwlavanja potprogr"*a. Izw5avanje programa poEinje u ulaznoj taiki opisa Potprograma, a

zawiava se u iziaznoj'tatki ovog opisa. U ulaznojtaiki potprograma se zauzimaju lokacije za lokalne

promenjive potprograma, a u izlaznoj tedki potprograma se ove lokacije oslobadaju. Lokalne

pro*.nJir. potpro[r.*a se nazivaju i dinamiike, jer postoje samo u toku izvrSavanja potprograma, za

razliku od statidkitr"i globalnih promenjivih koje postoje za sve vreme izvrsavanja programa i koje su

definisane van potprogtama. Potprogrami se dele na funkcije i procedure'

17. Sta karakteriSe rnakro?Mal<ro karakteristiie makro definicja i poziv makoa, kao i postojanje makro pretprocesora koji

zamenjuje makro pozive odgovaraju6im, modifikovanim, naredbama iz malao definicije. Makro

Page 8: Arhitektura racunara - skripta

pretprocesor obraduje programski tekst pre asemblera. Kao i re5enje problema koji se sam nametruo,naime viSestruki pozivi makroa u jednom asemblerskom programu izazivaju pojavu istih labela

(r:avedenih u telu ovog makroa), a to relenje se postiZe koriSdenjem pa-rametara na mestu spornih labela

ili prepuitanjem makro pretprocesoru da, u ovakvim sluEajevima, sam generi5e jedinswene labele.

18. Sta karakteriie funkciju?Fuknciju karakteriSu jedan ili viSe ulaznih parametara (sa statusom lokalnih promenjivih) kao i samo

jednom powatnom wedno56u.

19. Sta karakterSe proceduru?Proceduru karakteristiSu jedan iti vi5e ulaznih parametara kao jedan ili viSe r:Iazno-izlazni.

20. Koje asemblerske naredbe su uvedene radi asemblerskih potprograma?Bezuslovne upravljadke naredbe POZOVI i NAIRAG

21. Sta je vezano za poziv asemblerskog potprograma?Smeitanje adrese sledeie naredbe u registar o/oLi,i zatim pomoiu naredbe NATRAG waianje na tuadresu i nastavak rada programa.

22. Sta je vezano za makro poziv?Rad malao pretprocesora pre kompajliranja.

23. Kako upotreba potrpograma utiEe na duZinu programa i weme njegovog izrrrEavanja?Upotreba potprograma uvek povedava broj naredbi, dime se produZava vreme izraZavanja potPrograma.

Pored tog4 koriSienje potprograma nekad izaz|va i poveiavanje duZine progftlm4 5to znadi da treba

vi5e memorijskih lokacija za smeStanje ma5inskog oblika programa.

24. Kako upotreba markoa utiEe na duZinu progr.rma i weme njegovog izw5avanja?

25. Ko obavlja zamenu makro poziva modifikovanim naredbama iz makro definicija?Makro pretprocesor.

26. Gde su definisane i kada postoje globalne promenjive?Globalne promenjive su definisane van potprograma i vaZe od njihove definicije u program pa do laaja

izvr5avanja programa.

27. Gde su definisane i kada postoje lokalne promenjive?Lokalne promanjive definisane su na podetku ili u toku izvrlavanaj potprograma i one se nalaze na

steku i traju do kraja izvrSavanja polprograma.

28. Sta se sme5ta na stek?Sem povratoih adres4 na stek se smeltaju arugumenti koji se prenose u potrpograme,lokalneprourenjive i pokazivaE prethodnog frajma.

29. Kako se rukuje stekom?Stekom se rukuje preko naredbi koje omogu6avaju zauzimanje memorijsikih Iokacija za stek, pripremu

steak za kori56enje (odnosno inicijalizaciju pokazivada steka), sme5tanje sadrZaja u stek i preuzimanje

sadrZt ja iz steka.

Page 9: Arhitektura racunara - skripta

i

I

L-30. Sta drZi frejm?Frejm drZi powatnu adresu funkcija kao i argumente koji su povezani sa pozivom funkcije.

Page 10: Arhitektura racunara - skripta

4.MEMORIJA i PROCESOR RACUNARA KONCEPT

1. Koju adresu dekodira dekoderska funkcijaA3&A2&A1&A0 (Ai oznaEvaba i-ti bit adrese)?

A3&A2&A1&A0 dekodira 0001111

2. Koje linije ulaze u merroriju?U memoriju ulaze linije podataka upravljaEke linije i adresne linije.

3. Koje linije izlazeiz memorije?Iz memorij e izlaze linije podataka.

4. Koje naredbe imaju jedan ulazno-izlazni i jedan ulazni registarski operand?Naredbe prvog tipa poput SABERI, SABERI-P, ODUZMI, ODUZMI-P, i i ILI .

5. U kojim operandima naredbi procesora se koriste registri opSte namene?S"st vrst" operanada ukljuEuje registar (registarski, posredni, indeksni , ulazni, izlaznti ulazno-izlazni).Ima 3 operanda koji mogu biti i ulazni. i izlazni, tako da ih ima ukupno 3*2=6

6. U kojim operandima naredbi procesora se koriste vrednost/adresa?Pet rrrsta operanada ukljuEuje vrednosg odnosno adresu (neposredni, direktni, indeksni, ulazni iizlazni).

7,Dali naredba SABERI ima dodatnu reE?

Ne, maSinski oblik naredbe saberi kao i svih ostalih naredbi 1. tipa obuhvata samo obaveznu red.

8. U kojoj fazi i u koji registar se smelta obavezna rei?Obavezna reE se smeSta u registar naredbe u fazi dobavljanja

9. U kojoj fazi i u koji registar se sme5ta dodatna reE?

lJ fazi obavljanja se dobavlja i dodatna rec njenog ma5inskog formata,ako postoji.I smeSta se upomoini registar.

10. Sta sadri,i procesor?Registre opdte namene, programski brojaE, registar naredbe, pomoini registar (to su registri posebne

namene), arimetiEo-logidku jedinicu, vezne linijie i upravljaEku jedinicu.

11. Sta sadrii aritmetiiko logiika jedinica?AIU sadrZi 2 regisra podataka, sklopove (saberi, oduzmi, i, ili, ne,levo i desno) i registar konstante.

12. Koje registre menja izw5avanje mikro-programa obavljanja naredbe ODUZMI?Registar podataka 1, registar podataka 2, rezultat operacije se stavlja u registar Yortkogaodreduje prviregistarski operand, status registe.

13. Sta sadrZi upravljaEka jedinica?Dekoder naredbe, inicijalni registar, registar sekvence, miloo-programsku memoriju, upravljadki

Page 11: Arhitektura racunara - skripta

14. Sta karakteristiSe mikro-programsku memoriju?Velidinu njenih lokacija odreduje broj bita u maSinskim oblicina mikro-naredbi. Broj lokacija miko-programske memorije zavisi od ukupnog broja mikro-naredbi u svim mikro-programima.

15. Koji registri se menjaju u poluciklusu dobavljanja upravljatke jedinice?

Registar sekvence (samo pri inicijalnom mikro-programu?), upravljaiki registar.

L6. Koji registri se menjaju u poluciklusu obavljanja upravljatke jedinice?

Vaijda isto....

17. Kako dekoder naredbe transformiSe kod naredbe u adresu rnikro-progralna obavljanja?Dodaje tri bita 000 iza 4 bita koda tipa naredbi.

L8. Gde se koristi ulazna adresa mikro-programa dobavljanja?U poslednjoj mikro-naredbi svakog mikro-programa dobavljanja, kao i jedina mikro-naredbainicij alno g mikro-pro grama.

L9. Odakle dolazi adresa u mikro-programsku memoriju?Ili iz deksdera naredbi ili iz registra sekvence.

20. Koji su zajedniiki argument prekidaEkih funkcija?Zajednidki argument prekidadkih funkcija su logiEke promenjive R i T.

21. Kako se menja wednost logiikih prornenjivih T i R?Logid<a promenjiva R predstavlja stanje procesora, kada procesor radi wednost logidke promenjive Rje 1-, u suprotnom je 0, sa d*ge strane logiEka promenjiva T omoguiava razlikovanje poluciklusa i ona

periodiEno menja wednost, tako da uvek sadrZi vrednost 1 u poluciklusu dobavljanja i vrednost 0 upoluciklusu obavljanja. FiziEka realizacija logidke promenjive T se oslanja na koriSienje elektronskih

oscilatora koji omoguduju stvaranje signala pravilnog perioda (takta).

22. Koji su posebni argument prekidaEkih funkcija?Arugmenti logiEke funkcij e IZA-DOBAVLJANJA(-RS s&-RSs&-RS+&RS g&-RSz&-RS r&-RSo)

23. Sta sadrZi programski brojai?Adresu dobavljenje reii.

24. Sta sadrii registar sekvence?Adresu naredne mikro-naredbe. ,

25. Sta sadrZi upravljaEki registar?Upravljacki registar sadrzi prekidacke argumente logickih vrednosti.Na taj nacin od sadrzaja

upravljackog regisfta zavisi stanje pojedinih prekidaca. Pored masinskog oblika naredbe, on sadrzi iadresu sledece mikro-naredbe u svojih najmanje znacajnih 7 bita.

\fiSta sadrii inicijalni registar?

' tniiil alni re gistar sadrZi ulaznu adresu inicii alno g mikro-pro grama.

Page 12: Arhitektura racunara - skripta

Zl. Stase de5ava dok logilka promenjiva R ima vrednost 0?PrekidaE P53 se zatvori i sadrZaj inicijalnog registra se ubaci u registar sekvence

28.U kom redosledu se izvr5avaju mirko-programi?

5.Racunar Konceptnisam siguran u odgovore na pitanja ili ih nisam nasao u praktikumu: L8,2L,37,46,68

1.5ta omoguduje upravljaEka tabla?Omoguduje komunikaciju izmedu korisnika i racunara putem LED dioda i prekidaia koji prestavljaju

bite . slika 5.1.3 str.l-06

2. Sta omogufuje komandri jezik?Omoguduje laklu komunikaciju izmedu korisnika i racunara putem znakovne komunikacije.Topodrazumeva da se za saop5tavanje komandi radunara koriste nizovi znakova, umesto poloZajaprekidaEa.

3. Posredswom kojih registara procesor pristupa kontrolerima?Posredstvom registra podatka i regisna stanja.U registru poda&a se sme5ta znak(podatak), a u registustanja se smelta bit (0 iti 1) koji nam govori jel znak preuzet(proditan) ili ne.

4. Kada se menja registar stanja kontrolera? *Prilikom preuzimanja i postavljanja.Kada je kod znaka preuzet, ovaj bit sadrZi wednost nula, a kada jekod znaka nepreuzet, ovaj bit sadrZi vrednost jedan.

5. Iz kojih registara kontrolera procesor moie da cita, a u koje moZe da pise?Registe kontolera tastature(registar podataka i registar stanja) ima smisla samo iitati.(Kontroler pi5e)

6. Koji standardni znakovni kodovi postoje?ASCII. Unicode itd. :D

7. Kada se brojevi koriste u znakovnom a kada u internom obliku?U znakovnom obliku se koriste prilikom preuzimanja sa ulaznog uretlaja(tastature) i prilikom prikazana izlaznom uredaju(ekranu)U intemom obliku se koristi prilikom aritmetidkih operacija.

Pr. Interni oblik 1001 znakovni oblik 31 30 30 30 31

B. Kako se obavlja konverzija brojeva iz internog u znakovni oblik i obrnuto?Konverzija broja iz znakovnog u intemi oblik zahteva:1. da se iz znakovnog koda svake cifre odredi njena interna predstava i2. da se interna predstava cifre smesti u odgovarajudu poziciju.To se postiZel-.oduzimanjem znakovnog koda cifre nula od znakovnog koda posmarane cifre datog broja i Z.

mnoZenjem tako dobijene razlike odgovarajuiim stepenonrbroja 2@azebrojnog sistema).Postupak se

Page 13: Arhitektura racunara - skripta

ponavlja za znakovne kodove svih cifara datog broja iduii sa leva u desno.

Konverzija broja iz internog u znakovni oblik zahteva:1.da se za internu predstavu svake cifre odredi njen znakovni kod i2.da se znakormi kodovi poredaju u odgovaraju6em redosledu.

To se postiZe:l.dodavanjem znakormog koda cifre nula na wednost svake cifre iz interne predstave broja i2.i smeSunjem dobijenog znakovnog koda cifre u odgovaraju6u lokaciju.

9. Kako se interpretiraju komande?Prepoznavanje wste komande, izdvajanje njenih argumenata i njeno izwSavanje'se zajedno nazivajuinterpretiranje komande.

10. Sta je zadatak ulazno/izlazne operacije drajvera'lUlazna operacija je namenjena za preuzimanje znakova sa terminala(sa tastature)Izlazna operacija je namenjena za prikaz znakova na terminalu(na ekranu).Ove dve operacije omogu6uju koriSdenje terminala bez poznavanja detalja njegovog funkcionisanja.l{ataj nadin, one objedinjuju tastaturu i ekran u jedinstven uredaj.

11. Od dega se sastoji radno tekanje?Sastoji se od ponavljanja provere da li je ispunjen uslov,neophodan za preuzimanje, odnosno za ehoznaka.Ovakva provera se ponavlja do ispunjenja pomenutog uslova.

12. Sta ulazi u sastav BIOS-a?Drajver terminala i interpreter komandi.

13. Koliko nivoa koriSdenja BIOS-a postoji?Interakti,rni nivo: korisnici direktao koriste komande interpretera komandiProgramski nivo:na programskom nivou se, iz korisnidkih programa, pozivaju operacije drajveraterminala.

LA.U kojim tehnologijarna se pravi memorija?Poluprovodnidke: RAM(read access memory) i ROM (rean only memory)Magnetne:ima vise vrsta ali njihov tipidan prestavnik je disk.

15. Koje korake obuhvata prenos bloka diska?Prenos bloka zapodinje procesor, smeitanjem oznake smera prenosa u registar stanja diska( jel iitamoili piSemo).Zattm sledi radno dekanje,dok registar podataka diska ne postane spreman za prijem brojastaza(dok se disk ne aktivira).Nakon sme5tanja broja staza u registar podataka diska sledi radnoiekanje, dok ovaj registar ne postane respoloZiv za prijem broja sektora(Vreme koje je potrebno danadje sektor).Po smeltanju broja sektora u registar podaiaka diska, za svaki bajt prenolenog bloka seponavlja radno iekanje(prilikom iitanja i pisanja treba vremena) , dok pomenuti bajt ne dode sa diska uregistar podataka diska, odnosno dok on ne ode iz regist'a podataka diska na disk, 5to zavisi od smeraprenosa.(U zavisnosti jel ditanje ili pisanje)

16. Da Li je ispravna kodna reE 0010 sa trl bita podataka i jednirn bitom parnosti?Nije taEna, zato ito kad ima neparan broj jedinica tretra da na bitu pamosti stoji jedinica.A kad je paranbroj jedinica u podatku,na bitu parnosti treba da sioji nula.slika 5.4.1- st.122

Page 14: Arhitektura racunara - skripta

17. Koji bit je pogre5an, ako je netaEan bit parnosti 1 u kodnoj reEi sa Eetiri bita podataka (sarednim brojevima 3,5, 6 i 7) i tri bita parnosti podataka (sa rednim brojevima L,Zi4)?Ako je netaian samo jedan od bita parnosti, tada je on pogrelan

18. Koje pojmove uyodi operativni sistem?Uvodi datoteke,sliku procesa i joS ??????? -

19. Sta je zadatak operativnog sistema?Iz snukture operativnog sistema sledi da je njegov zadatak da objedini raznorodne delove radunara uskladnu celinu i, uz to, da sakrije od korisnika sve o funkcionisanju radunara 5to nije bitro za njegovokoriStenje.

20. Sta sadrZi slika procesa?Svaki proces karakteriSu maSinski oblik izw5avanog programa, kao i vrednosti promenjivih i sadrZajsteka koji se menjaju u toku aktivnog procesa. Oni zajedno obrazuju sliku procesa (process image).

21. Koji deskriptori postoje?Deskriptor datotekeDeskriptori procesa.

22. Sta onaogucuje interpreter komandnog jezika operativnog sistema?Zahvaljujuii interpreteru komandi, postoje dva nivoa koriSdenja operativnog sistema:f. interaktirmi nivo i2.programski nivo.

23. Sta obrazuje korisnitke interfejse prema operativnom sistemu? -Komande interpretera komandi operativnog sistema i sistemski pozivi obrazuju dva razliditakorisnidka interf ej sa. (interpreter komandi obrazuj e interfejs)

24. Koji sistemski programi postoje?Edtor, prevodilac(asembler ili kornpajler), makro pretprocesot linker, louder(loader), dibager.

25. Izw5avanjem kog programa zapoiinje rad raEunara?Radunar zapoEinje rad izvrlavanjem BIOS-a.

26. Kome korisnik saop5tava koji operativni sistem treba da bude pokrenuti na poEetku radaraEunara?Korisnik pomodu BIOS-a bira koji ce inicijalni louder(bootstap loader) da pokene,a inicijalni louderje program koji prebacuje u radnu memoriju module operativnog sistema i zapoEinje njegovoizvrlavanje

27. Sta podrazumeva viSeprocesni reZim rada?U ovom reZimu rada u radnoj memoriji istowemeno postoji viSe slika procesa,a procesor seprekljuduje( context switch) sa procesa Eija aktivnost je postala zavisna od deiavanja dogadaja vanprocesa,na proces za Iiju aktivnostjedino nedostaje procesor.

28. Sta omogu6ava viseprocesni reiim rada?Omo guiava f acionalnij e koriStenj e procesorsko E wemena.

Page 15: Arhitektura racunara - skripta

29. Z_ast.o je uvedeno prekljuEivanje?uvedeno je zbog radnog dekanje.Primer je ulazno/izlazni uredaji.

30. Sta je zadatak sistemskog procesa?

Njegov Jedini zadatak je da angaZuje procesor kada nema drugih istowemenc postoje6ih procesa.

31. Kada dolazi do ulazom-izlazom vodenog prekljuEivanja?

Kada nastavak aktivnosti procesa zavisi od deSavanja na ulazr:/izlazu kod terminala ili diska-

(dekanjane na podatak sa ulaza/izlaza)

32. Sta karakteriSe ulazom-izlaznom vodeno prekljudivanje?Za ulazom-izlazom voaleno prekljudivanje je karakteristidno da u stanje'deka'prelazi aktivni proces(sa

koga se procesor prekljuEuje),a da u stanje aktivan prelazi spreman proces(na koga se procesor

prekljuEuje).

33. Sta se delava u toku prekljuiivanja?Njegovo izwlavanje se poziva u toku akti'rnosti jednog procesa, a po zaw5etku ovog izvrlavanja

aktivnost nastavlja drugi proces. U prekljudivanju procesora,sadrZaji registara op5te namene se prvo

saiuvaju, na primer u registarskom baferu procesa koga procesor napuS,ta, a zatln se ovi regis,tii

nupun. prettridno saduvinim sadrZajima iz registarskog bafera Procesa kome se Procesor posveduje.

34. Koje su mane ulazom-izlazom vodenog prekljutivanje?Mana je zato lto ovakvo prekljudivanje ne nudi brzu reakciju procesora na de5avanje vanjskih

dogada;a,3er do pomenute reakcije ne dolazi neposredno po de5avanju vanjskog dogadaja, nego tek u

okviru -p*og

pr.kliudipnja, koje usledi iza delavanja pomenutog vanjskog dogadaja.(Uhatko ako se

desi neki unos sa tastature procesor ga nece odma procitati, to je mana).

35. Zasto su uvedeni Prekidi?Zb o g mane ulazom-izlazom vo deno g prekljulivanj a.

36. Ko izaziva prekide?Prekide izazivaju kontroleri nakon delavanja odgovaraju6eg vanjskog dogadaja-

37. Sta je vezano za prekide?Vezano je mnogo swari a glavni je potprogram koji se zove obradivad prekida(intemupt handler).

38. Sta safuZ,i tabela prekida?procesor koristi broj vektora(koji je dobio od odgovarajuceg prekida) kao indeks kod posebne tabele

prekida(interrupt vector table),iiji elementi safuZe vektore obradivaia prekida.

(sadrZe informacije o tom prekidu)

3g. Kojim linijama se prenosi broj vektora prekida od kontrolera ka procesoru?

Linijom podataka.

40. Koje tinije su potrebne za podr5ku prekida?potrebna ru iirrilu naSava;:rekidi,liniia kojom konrroler najavljuje procesoru da se delava prekid, iIinija potvrde piekida,linija kojom procesor govori kontrolerima da je spreman da pokrene obrailivaE

prekida.

Page 16: Arhitektura racunara - skripta

41. O iemu brine miliro-program prekida?'Slika

st136 gornja.U prvom i dmgom ciklusu se saduvaju zatedeni sadrZaji status registra i programskog brojaia, a utreiem ciklusu se onemogude prekidi.Za to je neophodan odgovarajuii pristup stanrs registru.UIetwtom ciklusu se preuzme broj vektora. U petom ciklusu preuzeti broj vektora omogudi (zahvaljujuiitabeli prekida) preuzimanje ulazne adrese obradivaEa prekida, koja se sme5ta u programski brojaE.Timese omogudi dobavljanje prve naredbe obradivaEa prekida i iza toga zapodne oobradaprekida.Podrazumeva se da iza mikro-programa prekida sledi milao-program dobavljanja.

42.Po Eemu se obradivaE prekida razlikuje od obiEnog potprograma?Prekide izazivaju kontroleri nakon de5avanja odgovarajudeg vanjskog dogadaja..

43. Kada i kako se onemoguduju prekidi?Prilikom obavljanja mifuo-programa prekida,u status registar prekida se smesta nula.3. ciklus:0->SR4

A+. iime se pro5iruje upravljaika jedinica.radi podr5ke prekida?Pro5iruje se sa registom prekida,brojev vektora, Iinijom najave prekida i linijom potvrde prekida(Nisam naiao nista drugo,ali sam moZda sluEajno propustio ne5to).

.-45. Kada,dolazi do obrade prekida?Posle dobavljanja prve naredbe obraclivada prekid4 zapoEinje obrada prekida

46. Kako upravljanje prekidima utite na upravljaiku jerlinicu?

47. Kojom linijom i za5to se kontroleri serijski povezuju(daisy chaining)?Obrade prekida komplikuje dinjenica da svaki od kontrolera moZe istovremeno zatraiiti obradu svogprekida.U tom sluiaju, redosled obrade prekida zavisi od prioriteta kontrolera koji zahtevaju pomenuteobrade.Dodela (statid<ih) prioriteta kontrolerima se zasniva na serijskom povezivanju (daisy shaining)ovih kontolera linijom potwde prekida.(Ko je prvi u serijskom lancu on ce prvi da izw5i obraduprekida,jer 6e signal pwo da stigne do njega).

48. Sta sadrZe kontoleri koji podriavaju prekide?SadrZe obradivade prekida.

49. Gde se nalazi registar broja vektora?Registar broja vektora:z,lazi na liniju podataka u procesoru.

S]ika 5.7.1 str.133

50. Za5to je uveden prioritet procesa?Radi odredivanja procesa dija aktivnost je najhitnija, uvodi se prioritet (priority) procesa i podrazumevase da je aktivnost najprioritetnijeg procesa najhindja.

51. Koji zadatak imaju pozadinski procesi?Procesi koji preuzimaju podatke od obradivaia prekida, radi nastavljanja njihove obrade, se nazivajupozadinski (background) procesi.

Page 17: Arhitektura racunara - skripta

52. Od iega se sastoji drajver terminala koji podriava prekide?Sastoji se od gornjeg i donjeg dela a izmedu njih je bufer.

Slika. 5.7.3 str.L38

53. Od iega se sastoji drajver diska koji podrZava prekide?Sastoji se od gornjeg i donjeg dela a izmedu njih je upravnjadki bufer.

Slika 5.7.4 str. t 38

54. Sta karakteri5e sabirnicu ?

Za direktan kontakt bilo koja dva dela raEunara neophodno je postojanje veznih linija koje povezujusve delove raiunara.Ovakve vezne linije se nazivaju sabirnica ili magistrala (bui).(Sabirnicu karakteride da ona povezuje ostale komponente radunara sa samim sobom)

55. Koje linije su uvedene radi upravtjanja sabirnicom?P, C,NAJAVA PR-EKIDA, P OTVRDA P REKIDA, ZAHTEV i DO ZVO LA

slika 5.8.1 srr.14l_

56. Sta sadrii DMA kontoler?SadrZi registar broja staza, registar broja sektora, registar broja bajta i registar adrese. Uz to, DMAkontroler sadrZi jo5 registar stanja i registar podataka.

57. Sta se deSava u toku aktivnosti DMA kontrolera?DMA kontroler traZi dozvolu za koriSdenje sabirnice, kada postane sprernan za prenos bajta na relacijiizmedu lokacije radne memorije i registra podataka. Po dobijanju ove dotvole, on pristupaodgovarajuioj lokaciji radne memorije, radi prenosa pomenutog bajta iz registra podataka ili u njega.Nakon prenosa svakog bajta, DMAkonuoler oslobada sabimicu pridruZivanjem wednosti 0logi[kojpromenjivj ZAHTEV 5to navede procesor da preuzme sabirnicu, pridruZivanjem wednosti 0 logidkojprornenjivoj DOZVOLA.Uzto DMAkontroler umanji za wednost L sadrZaj registra broj bajta, da biotkrio kada su svi bajti preneseni. To se desi kada, nakom umanjenja ovaj registar sadrZi wednost 0.Tada DMAkontroler prekidom obaveitava procesor da je prenos zawSen. U suprotnom sludaju, onpoveia za wednost 1- sadrZaj registra adrese i tako odredi adresu lokacije radne memorije kojaudestvuje u narednom prenosu.

58. Koje linije serijski povezuju DMA konuolere?U sludaju da postoji vi5e diskova, njihovi DMAkonztoleri se serijski povezuju linijom DOZVOLA.

59. Sta karakteriSe vi5ekorisniEki rad?Karakteride ga da jedan raiunar koristi viSe korisnika.Pri tome, svaki od njih raspolaZe sopstvenimterminalom, pomo(u koga komuniciraju sa raEunarom.

60. Sta je neophodno za visekorisniEki rad?Neophodna je velika brzina procesora i praienje wemena.

61. Sta sadrii sat?To je digitalni sklop sastavijen od :

l.Krisalnog oscilatora koji generiSe impulse pravilnog perioda i2.Brojada tih impulsa.

62. Kako se izraiava sisternsko weme?

Page 18: Arhitektura racunara - skripta

Izrrtava se kao broj prekida sata (broj impulsa).

ffi. Sta karakteri5e logiiki adresni prostor?Zaltita od medusobnog ometanja korisnika se zasniva na spretavanju procesa da pristupajumemorijskim lokacijama koje ne pripadaju njihovim slikama.To se postiZe uvodenjem logiikogadresnog prostora za svaku sliku procesa,taj logiEki adresni prostor de iii od 0 do n-1 i pristupanjemmemorijske lokacije koja je veia od n-1 dolazi do gre5ke.FiziEka adresa logidke adrese se dobijasabiranjem baze adrese sa logidkom adresom

64. Kako se pretvara logiika afuesa u fiziiku adresu?Svodi se na sabiranje logiike adrese sa baznom adresom.

65. Sta sadrZi MMU?Slika 5.9.2 str.145

66. Sta radi MMU?Zadatak MMU je da prewori logiiku adresu u fiziEku i da ukaZe na izuzetak (ako zbir logiike adrese ibaze bude veii od graniine adrese).

67. Sta karakteriSe izuzetke?Fizidka adresa odlazi ka sabirnici samo nakon uspe5ne provere ispravnosti logidke adrese (znaEi ako

-"vali-V), suprotan sluiaj u kome je provera ispravnosti logidke adrese neuspe5na(er vaZi V)predstavlja izuzetak.U slulaju pojave izuzetka, neophodno je prekinuti aktivni proces, jer on zahtrevapristupanje nedozvoljenoj memorijskoj lokaciji.Zbog toga su izuzeci podwsta prekida. Zadatak MMUje da ukaZe na izuzetak.Procesr reaguje na izuzetak tako sto pokrede milao-program izuzetka.

6S. Sta ukljuEuje upravljaEka jedinica za podr5ku izuzetaka?UkljuEuje broj vektora(on govori koji je uzuzetak u pitanju)

69. Sta je zadatak obrade izuzetaka?Zadatak obrade izuzetka je da zaustavi nedozvoljenu aktirmost procesa, uz registrovanje mesta njenepojave.

70. Po Eemu se razlikuju prekidi i izuzeci?Izuzeci se razlikuju od prekida:

1.Po tome 5to MMU,a ne konuoler otl,riva pojavu izuzetka,L.Po tome Sto broj vektora izuzetka pribavlja procesot a ne kontroler,1.Po tome 5to obrada izuzetka podinje odmah po njegovom o&rivanju iL.Po tome 5to izuzeci ne mogu biti onemogudeni.

71. Sta je porebno za sprEEavanje neovlaSdenog pristupa graniinom i baznom registru?Uvodenjem privilegovanog i neprivilegovanog reZima rada procesora.

72. Koje su privilegovane naredbe?U privilegovane naredbe procesora spadaju naredbe za preuzimanje i izmenu sadrZaja graniinog ibaznog registra.

B. Sta karakterGe privilegovanu naredbu?Privilegovanu naredbu kai'akteri5e da samo operativni sistem ima privilegiju da ga pokrene

Page 19: Arhitektura racunara - skripta

74. Sta se moZe izwSavati u prMlegovanom reZimu rada procesora?

Privilegovani reZim rada procesora je rezeryisan samo za izvrSavanje operatirrnog sistema(njegovih

programa).

75. Sta se moZe izwlavati u neprivilegovanom reiirnu rada procesora?

Neprivilegovani reZirn rada procesora je predviden za izvrlavanje svih korisnidkih programa.

76. ZaSta se koriste izuzeci?Kao izuzetak se mogu tretirati i pojave kodova nepostoje6ih naredbi, pa i neisparrnih operanada, kao itojem na primer, pojava wednosti 0 u ulozi delioca naredbe deljenja kod procesorei koji podrZavaju

ovakvu naredbu. Takode, kao izuzetak se moze tretirati i pojava pogre5nog rezultata, poPut izlaska van

opsega(primer sabiranja baze sa logidkom adresorn).

77.2a 5ta sluzi naredba IZLZOVI?lzazovi sluZi za svesno izazivanje izuzetka.

78. Na 5ta se oslanjaju sistemski pozivi?Sistemski pozivi se oslanjaju na posebnu naredbu procesora za svesno izazivanje izvetka(IZAZOVD.

6. SISTEMSKI PROGRANflE

1. Sta je namena editora?Editor je namenjen za zadavanje sadrZaja novih tekst datoteka i za izmene sadrZaja postojedih tekst

datoteka.

2. Sta karakteriSe editor?Editor koristi ekran terminala za prikazivanje sadrZaja datoteke. Prikazani sadrZaj je organizovan u

Iinije s tim da datoteka moZe imati drugaliju unutradnju organizaciju. Aktivnost editora usmerava

korisnik pomoiu posebnih editorskih komandi koje se mogu zadati ili preko posebnih dirki tastature ilikao kombinacija obiEnih. Potrebno je razlikovattkomanilnireiim rada(kada svi znakovi koji pristignu

sa rastature predstavljaju znakove komandi) i znakovni reiim rada (svi znakovi koji pristignu postaju

deo sadrZaja datoteke).

g. Sta je namena asemblera?Asembler je namenjen za analizu asemblerskog programa, sadrZanog u programskoj datoteci, radi

prepoznavanja pojedinih naredbi i direktiva i radi generisanja njihovih maiinskih oblika.

4. Koje poslove obavlja asembler?Asembler preuzima znak po znak sadrZaja programske datoteke i proverava da Ii je dati znak u sldadu

sa praviiima programskog jezika, ukazuje na eventualne greike(preskale dati red i nastavlja daljnje

asembliranje i korisniku javlja u kom redu se nalazi greika), nakon Prepoznavanja naredbe generiSe

njen malinski oblik.

5. Sta sadrZi tabela naredbi?Imena i kodove naredbi.

6. Kada nastane tabela naredbi?

Page 20: Arhitektura racunara - skripta

Prilikom pravlj enj a asemblerskog jezika

Imena labela i njihove adrese.

8. Kada nastane tabela labela?Pri prvom prolasku asemblera kroz asemblerski tekst.

9.Sta sadr{i brojal lokacija?U brojaEu lokacija 6e se uvek nalaziti adresa poEetka naredbe ili direktive dija analiza Eeka na red

10. Sta sadrii tabela labela(objasniti na primeru)?Slika 6.2.3 na 154. stani.

11. *Koja adresa odgovara labeli x za asemblerske naredbe:SABERI Yo0, YolNE o/oA

x:LEVO Yo$

Eije malinske adrese naredbe poEinju od adrese 0?

Druga, jer je0. SABERI (ona nema dodanru red)1. je NE (isto nema dodatnu red) i onda2. ide X

12. Koje gre5ke se javljaju u asembliranju?Leksidke, sintaksne i semantidke.

13. Sta je namena makro preq)rocesora?Makro pretprocesor je namenjen za analizu programske datoteke, radi prepoznavanja makro definicija,malao poziva i uslovnih direktiva i radi tekstualnih izmena sadrZaja ove datoteke

14. Koje tabele formira makro pretprocesor?Tabele makro imena, maloo tela i argumenata.

15. Sta je namena linkera?Linker sluZi da zasebne objektne sekvence programa i potprograma, preuzete iz raznih objekurihdadoteka, poveZe u jednu izwinu sekvencu program4 radi sastavljanja inicijalne slike procesa i njenogsmeStanja u izvr5nu datoteku.

16. Koje probleme re5ava linker?Problem relokacije adresa i problem spoljalnjih referenci.

17. Sta izaziva pojavu problema relokacije?Pri zasebnom asembliranju svaki od (pot)programa je predviden da potinje od nulte adrese. Medutim,kako postoji samo jedna nulta adresa, pri povezivanju zasebnih objektnih sekvenci u jednu izw5nu,samo jedan od programa moZe podeti od te nulte adtese, a poietre adrese ostalih se

relociraju(pomeraju) za broj memorijskih lokacija koje su zauzele prethodno smeStene objekrresekvence.

Page 21: Arhitektura racunara - skripta

18. Sta karakteri5e relavanje problema relokacije?Uvodi se konstanta relokacije za svaku objektnu sekvencu, koja predstavlja duZinu te objektnesekvence tj. za koliko ie se adrese koje dolaze posle pomeriti.

19. Sta izazivapojavu problema spolja5njih referenci?Zasebno asembliranje tj. kada se iz programa ili potprograma poziva zasebno asembliran (pot)program,jer se tada pojavljuje spolja5nja iabela koja nije definisana u (pot)programu pozivaocu.

20. *U rna5inskim oblicima kojih naredbi se javljaju apsolutne adrese?Vaijda samo u skokovima(i uslovnim i bezuslovnim).Javljaju se i kod neposrednog, direktnog i indeksnog operanda naredbi prebacivanja

21. Koje tabetre su potebne za reEavanje problema spoljalnjih labela?Tabela nedefinisanih, ulaznih i spoljainjih labela. i tabela relokacija.

22. xKoliko apsolutnih adresa imaju rnaEinske naredtre koje odgovaraju asernblerskinlnaredbarna:

SABERI o/a0,YoL

NE Yo$

- x: LEVO %0(podrazumeva se da ne postoji relativno adresiranje)?Apsolutne adrese su adrese koje ti govore tacnu adresu na kojoj se nalazi nesto i vezane su samo zaskokove, ako se nevaram ovde nema ni jedna apsolutna.

23. Sta karakteriSe relativno adresiranje?Relativno adresiranje predstavlja oblik indeksnog adresiranja u kome relatirma adresa predstavija ideks,i u kome se podrazumeva koriSienje programskog brojada umesto registra oplte namene. Umestoapsolutnih adresa(npr. skoii na 000F) se koriste relativne adrese(npr. skoii za 5 adresa ili skoti za -7adresa ukoliko se ciljna naredba nalazi fizidki iza upravljadke naredbe).

2zl. Koje tabele formira asembler?Tebelu relekocija, tabelu nedefinisanih labela i tabelu ulaznih labela

25. Koje tabele formira linker?Tabelu spoljalnjih labela i tabelu objektnih sekvenci.

26. Ko obavlja statiEku relokaciju?Linker

27 . Ko obavlja dinamiEku relokaciju?Louder

28. Sta radi linker u 1. prolazu?Prvi prolaz je namenjen za formiranje tabele objektnih sekvenci, za relokaciju tabela i formiranje tabelespoljainjih labela

29. Sta radi linker u 2. prolazu?Drugi prolaz je posveden relavanju problema relokacije i problema spclja5njih reieienci.

Page 22: Arhitektura racunara - skripta

30. Sta radi asembler u 1. prolazu?U prvom prolazu, asembler analizira tekst asemblerskog programa radi popunjavanja tabela labela.

31. Sta radi asembler u 2. prolazu?U drugom prolazu se isti teks analizira jo5 jednom radi generisanja malinskog oblika naredbi idirektiva.

32. Koji sistemski programi imaju l prolaz?Maliro pretprocesor i mislim da je i louder isto. (ne znam za dibager)

33. Koji sistemski programi imaju 2 prolaza?Asembler i linker

34. Sta je namena loudera?Louder puni program tj. prepisuje njegove izvrlne sekvence iz izwlne datoteke u radnu memoriju radi

formiranj a slike procesa.

35. Sta je namena dibagera?Dibager je namenjen za omogudavanje nadgledanja izvr5avanja programa, radi pra6enja izmenasadrZaja lokacija (promenljivih) i otlrivanja 5ta izaziva pojavu neoEekivanih (pogrelnih) sadrZaja u

njima.

)36. Sta je potrebno za rad dibagera?Potreban je koralni reZim rada procesora.

37. Sta sadrii status registar?Status registar sadrZi poseban bit nazvan bittraga(tace bit) koji ima vrednost 1 kada je procesor ukoradnom reZim rada i koji omoguiuje pra6enie traga izvrSavanja programa,

7. EVOLUCIJA ARHITEKTURE RACUNARA

1. Sta opisuje arhitektura naredbi?Ona u potpunosti opisuje procesor sa stanovi5ta koriSienja. Ona opisuje: skup naredbi, vrste operanada,

adresiranj4 adresni prostor, memorijske lokacije i registe radunara.

2. Koje vrste naredbi sadri,i skup naredbi?Naredbe prenosta podataka, naredbe za rukovanje bitima, aritmetiEke, upravljadke, sistemske i ulazno-izlazne naredbe.

3. Koje tipove podataka podrZava arhitektura naredbi?CeIi, realm, znakovni i logiEki.

+. Sta karakterGe operande?Tip podataka i naiin pristupanju operandima.

5. Koje organizacione komponente raEunara postoje?

Proc:sor, ridrr" memorija, masovna memorija, kontroleri, ulazni i izlazni uredaji, sabimica, operativni

Page 23: Arhitektura racunara - skripta

sistem i sistemski programi.

6. Sta su direktni proizvodni trolkovi raEunara?To su toSkovi nastali u ba5 u toku proizvodnih aktivnosti i ve2ani su pravljenje svakog radunara.

Obuhvataju trolkove komponenti, tro5kove rada, garanciju i sli[no.

7. Sta su indirektni proizvodni trolkovi raEunara?

To su uoSkovi nasta[ u toku preostalih neproizvodnih aktivnosti preduzeia koje pravi radunare. U

indirektne troskove spadaju trolkovi istraZivanja, tazvoja, marketinga, prodaje, odrZavanja proizvodnih

pogona, porez, kamaie i slidno. Iako nisu direkuro vezani za pravljenje raiunara, indirektri tro5kovi

iakode utiEu na cenu svakog raEunara i ravnomerno se rasporeCiuju po svakom proizvedenom ralunaru-

8. Na Eernu se ternelji pozitivna povratna sprega koja predstavlja zamajac razvoja raEunara?

Pozitivna powatna sprega se temelji na sniZavanju cena i pro5irenju trZi5ta radunara. (Napredovanje

tehnologijl omoguiuje ili sniZavanje cene komponenti ili ve6u automatizaciju pri proizvodnji radunara.

Samim tim, niZa cena raiunara omoguiuje njegovu primenu u novim oblastima i samim tim proiirenje

trZidta. prolirenje trZiSta smanjuje indirektne troikove jer se sada ti trolkovi dele na viSe radunara, i taj

vilak se moZe uloZiti u daiji razvoj tehnologije koja onda ponovo izazivasniZavanje cene komponenti

odnosno proSirenje trZiSta i tako u krug.)

g.Za5ta se vezuju evolucione faze arhitekture ra5unara?

One se vezuju za periode dominacije pojedinih poluprovodnidkih tehnologija Iiji razvoj je izazvao

evoluciju arhitekture raEunara.

8. EVOLI.'CION! PERXGE ARHITEKTURE RAsIJNARA OKO 1950"

GODINIE

1. $ta je karakterisalo prenos podataka izmedu radne i masovne memorije kod raEunara prve

generacije?CentralnipoloZaj procesora u organizaciji je imao za posledicu da se ni jedan prenost podataka izmetl

nije mogao izvr-Siti bez njegovog ude56a. Za prenos podataka izmeo*u radne i masorme memorije su

portol.t. posebne ulazno-izlazne naredbe i radi njih je postojao poseban memoriiski ailresni prostor,-to*.

su pripadale samo lokacije radne memorije, iulazno-izlazni adresni prostor, kome su pripadale

samo lokacije ulaznih i izlaznih uredaja ili masovne memorije.

2. Koliko adresnih prostora postoji kod raEunara prve generacije?

Imao je samo jedan adresni prcstcr, ali se adresa iz tog adresnog prostora mogla interpretirati ili kao

adresa memorijskog ili kao adresa ulaznc-izlaznog adlesnog prostora.

3. Sta je karakterisalo raEunare prve generacije?

Raiurini prve generacije su bili namenjeni prvenstveno za numeridke proraEune. Kori5feni su na

interaktiimi nadin gde su programeri komunicirali sa raiunarom putem upravljadke table. Programeri su

bili upu(eni na kori5denje ma5inskog jezika, a ne5to kasnije i na koriS6enje asemblerskog.

4. Koju manu su imali radunari prve generacije?

Page 24: Arhitektura racunara - skripta

Osnovna matra radunara prve generacije bila je njihova slaba iskoriiienost. Nju su uzrokovaliinteraktivni nadin rada, to 5to se modlfikovanje programa deSavalo u toku njihovog izw5avanja i ude56e

procesora u prenosu svakog podatka izme.du bilo koje dve organizacione komponente.

g.Evolucioni period arhitekture radunara oko L960. godine

1. Sta Eini tehnolo5ku osnovu raEunara druge generacije?

Tehnolosku osnovu raEunara druge generacije-sulioiti Aisketni poluprovodni ci (19 $ I magnetnejezgrice (magnetic core 1949)

2. Zaito su diskretni poluprovodnici istisnuli elektronske cevi?Diskretni poluprovodnici su jedtiniji manji brzi i pouzdaniji od elektonskih cevi, a i manje se zagrevaju

i frose manje elekticne energije

3.Sta je karakterisalo radnu memoriju, sastavljenu od magnetnih jezgrica?Radna memorija sastavljena od magnetnih jezgrica je sadrzala dva stanja magnetizaciie prstena,

napravljenih od magnetnog materijala laoz koje su prolazili elekricni provodnici.Ovakrru memoriju je karakterisalo:a) weme pristupa lokaciji (access time), koje protelare izmedu postavljanja zahteva za . pristupom iobavljanja Zeljenog pristupa.b) weme ciklusa (cycle time),koje protekne izmeilu dva uzastopna pristupa

4.Zaito su magnetne jezgrice istisnule prvobitne memorijske tehnologije?Zato jer su magnetne jezgrice omogucavale pradenje veiih i pouzdanijih radnih memorija po nizojceni.

5. Kako se obavlja prenos podataka izmedu radne i masovne memorije kod racunara drugegeneracije?Prenos podataka izmedu radne i masovne memorije kod ratunara druge generacije obavlja kontroler.Slika 9.1-.3, Strana 177

6. Koje naredbe su podriavali procesori posebne namene?Procesori posebne namene su imali:

a) upravljacke naredbeb) naredbe za rukovanje pojedinim ulaznim i izlaznim uredjajima ili jedinicama masovne

memorijec) naredbe za prenos podataka izmedu radne memorije i ulaznog iJi izlaznog uredaja, osnosnoj edinice masovne memorij e.

7. Sta je karakterisalo racunare druge generacije?Radunare druge generacije karakterise pojava programskih jezika visokog nivoa (FORIRAN i -COBOL), samim tim i pojava prvih operativnih sistema

8. Kakvi ratunari imaju akumulatorsku arhitelcnrru?Akumr:latorsku arhitekturu imaju raEunari koji su imali menioriju sa jednom memorijskom adresom.

Na toj adresi se nalazio jedan sabirak, a u posebnom regisdu nazvarrrm ahtmulator se nalazio i drugi

Page 25: Arhitektura racunara - skripta

sabirak, ali se i t alrumulator smestao i dobiieni zbir

9. Kakvi raiunari imaju stek arhitekturu?Stek arhitekturu imaju takozvani nula raiunari tj radunari koji nemaju memorijske adrese, i sve se

smesta na stek

10. eiia pojava je vezana za racunare druge generacije?

Pojava programskih jezika visokog nivoa vezana je za radunare diuge generacije.

11. Koje mane imaju ralunari druge generacije?

RaEuniri druge generacije nisu koristili potencijalni paralelizam u radu procesori opste i posebne

namene, jer je procesor opste namene nakon pokretanja procesora posebne namene zaustadao svoju

aktirrnost do zawsetka rada Procesora posebne namene-

Neinteraktivni nacina rada je ozbiljno umanjio produktivnost programera koji su cesto dugo cekali

izmedju predavanja kartica sa svojim programom

;_ Upravljanje memorijskom hijerarhijom je bio suvise a i pretezak posao za mnoge programere.

;(

Page 26: Arhitektura racunara - skripta

10. Evolucija perioda arhitekture radunara oko 1970. godine

1. Sta je tinilo tehnolo5ku osnovu raEunara trede generacije?Tehnololku osnovu raEunara trede generacije su Einila integrisana kola (integrated circuft) i magnetnidiskovi (magnetic disk).

2. Zaitoje tehnologija integrisanih kola istisnula tehnologiju diskretnih poluprovodnika?Ova tehnologija je istisnula tehnologiju diskretnih poluprovodnika, zbogniZe cene, vede brzine i vede

pouzdanosti, a manje potroinje energije i manjeg toplohog zraEenja.

3. *Sta je karakterisalo tre6u generaciju ratunara?Jedna od karakteristika je bila podela na velike i mini radunare.

4. Sta je karakterisalo mini-raiunare?Karakteri5e ga skromnija funkcionalnost, ali i znatno niZa cena.

5. Sta je karakterisalo arhitekturu naredbi trede generacije raEunara?- Pro5irenje skupa naredbi, radi pokrivanja i op5tih i posebnih poteba,- pod-r5ka ve6em broju tipova podataka,- povedanjem broja raspoloZivih adresiranja i- ortogonalnoSdu (netavisno5iu) naredbi i adresiranje.Ovakav pristup je doveo do CISC (Complex Instruction Set Computer) raiunara sa veoma

kompleksnom arhitekturom naredbi.

6. Sta karakteriSe CISC raiunare?Za upravljanje njihovim procesorima je bilo pobebno generisati mno5wo sekvenci raznih kombinacijaupravljadkih signala.Za upravljanje CISC procesorima upotrebljena je mikro-programska(microprogrammed) upravljaEka jedinica.

7. Sta omogufuje mikro-programiranje?Mikro-programiranje je omogudilo emulae$u (oponaianje) jednog radunara pomodu drugog radunara.

8. *Sta je karakterisalo procesore raiunari trede generacije?

Karakterisale su milao-programske upravljaEke j edinice.

9.5ta je karakterisalo organizaciju radne memorije raEunara trede generacije?Radna memorija raEunara treie generacije je bila organiiovana u 8 birre bajte.

Imamo dve wste organizacije bajta. Prvi (nazvanom litle endian) na najmanje znaEajnom mestu u redise nalazio bajt sa najniZom adresom, a druga organizacij a(big endian), na najmanje znadajnom mestu uredi se nalazio bajt sa najviEom adresom.Objedinjen memorijski adresni prostor i ulazno-izlazni adresni prostor.ovakav pristup je nazvan

memorijsko preslikavanje ulaz- tzlaz ( memory-mapped input output)

10. Kako se obavlja prenos podataka izmedu radne i masovne memorije kod raEunara tre6egeneracije?Prenos podataka izmedju radne I masovne memorije wsi procesor tj njegov kontroler virnralne

Page 27: Arhitektura racunara - skripta

rnemorije, I operativni sistem. Procesor pokrece prebacivanje ( tako sto zatazi pristup stranici koja se

ne nalazi u radnoj memoriji), zatim se prekljuci na izvrsavaaje drugog prog,rama, a kontroler virtuelnememorije lzazove stranicni prekid cime se aktivira obradjivac stranicnog prekida.

11. Sta karakteriSe multiprogramiranje?Pristup multiprogramiranju (multiprogramming) je ponudio sistematiEan nadin da se procesor uvek drZi

zaposlen. Ideja multiprogramiranja je podrazumevala da se u radnoj memoriji istowemeno nalazi viSe

slika procesa i da se procesor prekljuiuje ( contexz switch) sa jednog na drugi Proces, tim se , u tokuaktivnosti provog procesa, pokrene aktiimost nekog kontrolera od koje je zavisio nastavak aktivnostitog procesa. Uveden je sistem baznog i granidnog registra, kao mehanizam za5tite. Uzmultiprogramiranje i ogromnoj razlici u brzini rada procesora i korisnika, jedan procesor je mogao da

opsluZi vi5e korisnika.

12.5ta je stepen multiprogramiranja?Broj istowemeno prisutnih slika procesa u radnoj memoriji je nazvan stepen multiprograrniranja(dig r e e of multip ro g r amming)

ffi .Sta karakteri5e memorijski preslikan ulaz-izlaz?On objedinjuje memorijski adresni prostor i ulazno-izlazni adresni prostor u jedinstven adresni prcstor.

. Prvi ga je primenio DEC (digital Equipment Corporation).Prednosti:

- Eliminisanj e pos ebnih ulazno-izlaznih naredbi.

-Jedinswen nadin tretiranja svih lokacija.Mana: je smanjenje broja lokacija u radnoi memoriji.

14.*Sta je vaZno za implementaciju virtualne memorije?Lokalnost izvrsavanja programa. Radni skup.

15. Koji pojmovi su vezani za virtualnu mernoriju?Vezani su pojmovi:

-vurtualnog adresnog prostora-virtualne straniee-kontroler virtualne memorij e

X.6.Koje wste lokalnosti izwlavanja programa postoje?

*,_.i ' Postoje prostorna i vremensta lokalnost.

17.Koje stranice obrazuju radni skup?Zahvaljujuii osobini lokalnosti izwiavanja delova programa, u toku pojedinih perioda aktirrnosti

Drocesa, procesor pristupa samo podskupu svih lokacija slike procesa, obrazovanom od jedne ili viSe

stranica.Ovakav podskup lokacija je nazvan radni skup (working set).

Ob r azuj e v ir tuelne str anic e.

18. Sta odredjuje veliEinu adresnog prostora?Odredjuje veiidina masovne memorij e,

19. Ko obarda prewaranje virtualne adrese u fiziEku?Kontroler virnralne memorij e ( Mlvi{.1, Memory Manag ement'{.J nit)

Page 28: Arhitektura racunara - skripta

20.5ta vaZizavirnralne i fizilke stranice?Iste su veliEine i za aktivnost procesora je neophodno da u fizidkim stranicama budu kopije virnralnihstanica koje obrazuju radni skup.

21.Od Eega se sastoji pretvaranie virtualne adrese u fiziEku?Za pretvaranje virtuelne adrese u fizidku potrebno je samo zameniti adresu virtuelne stranice adresom

fiziEke stranice koja sadrZi kopiju dotidne virtuelne stranice. Za ova pretvaranje, kao i za evidencijukopija virtuelne stanice prisunrih u fizidkim stranicama, potrebna je tabela stranice (page toble). Brojelemenata tabele suanice je isti kao i broj virtuelnih stranica, pa adresa virtuelne stanice sluZi kao

indeks odgovarajuie g elementa tabele stranice.

22.eemu je proporcijalna veliEina tabele s&anica?Broj elemenata tabele stranica je isti kao i broj virnrelnih stanica.Mislim da je proporcijalna velicinimasovlle memorije.

23.5ta sadrZe elementi tabele stranica?SadrZe adresu fizidke stanice i njoj odredjenu virnrelnu stranicu.

24.* Da Ii je mogude translirati virtuelnu adresu 0100 u fiziEku 000, ako unutrainja adresastranice ima 2 bita, adresa fizi[ke stranice ima 1 bit adresa virtuelne stranice ima 2 bita, a tabelastranica sadrZo {-, -, L, -} u svojim elementrima ftrajnje levo je element sa indeksom 0, a krajnjedesno je element sa indeksom 3) ?Nije moguce

25. Ko tzaziva straniini prekid?Kontoler virnralne memorij e(MMLD

26.Kada dolazi do izazivanja straniinog prekida?Kada kontroler virnralne memorije ustanovi da u elementu tabele sftanica sa odgovarajudim indeksom

nema adrese fizidke stanice.

27 .Zaito j e uvedene asocij ativna memorija?Zbog sporosti pristupa tabeli stranica koja se nalazi u radnoj memoriji.

28. Sta je karakteristiEno za asocijativnu memoriju?KarakteristiEno je da se pravi u brzoj poluprovodniEkoj tehnici.Verovatnoca pogotka/promasaj a pronalaska adrese virn:alne sft anice.

29. Sta je potrebno da bi virtuelna memorija omogu6ila medusobnu za5titu istowernenopostojedih procesa?Postavljanje konnolera virnrelne memorije izmedu procesora i radne memorije je olaklalo medusobnu

zaStitu programa, izvr5avanih u reZimu multiprogramiranja, jer se iste virtuelne adrese iz raznihprograma pretvaraju u razliiite fuzidke adlese, pa nema mogudnosti za pristupanje tudim lokacijamaradne memorije.

30. Sta karakteriSe memorijsku hijerarhiju?Njen cilj je lonbinovanjem memorije raznih cen4 kapaciteta i wemena pristupa ostvad 5to veiikapacitet ukupne memorije, po 5to niioj ceni i'uz Sto laade srednje weme pristupa

Page 29: Arhitektura racunara - skripta

31. Sta karakteriSe skrivenu memoriju?Njeno postojanje nije vidljivo programima.Sklivena i radna memorija se dele u linije. ikrivenarnemorija duva ne samo kopiju sadrZane linije, nego i njenu adresu. Skrivena memorija se nalazi u

kontroleru skrivene memorij e.

32. Koje sliEnosti postoje izmedu virtualne i skrivene memorije?

Izmedu virtuelne i skivene memorije postoji principijalna sliinost, jer i jedna i druga smanjuju srednje

vreme pristupa lokacijama siike procesa.

33. Sta su uveli operati'rni sistenri ratunara trete generacije?

Za operativne sisteme treie generacije su vezani pojava sisterna datoteka (ftle system), uvodenje

pradenja koriSdenja ra[unara treie generacije, radi naplaiivanja usluga, kao i uvodenje pojma virtualne

maiine (virrual machine)

34.5ta je zadatak obradivaEa straniinog prekida?

Kad god se ustanovi da fizidke stranice ne sadrZe kopiju potrebne virruelne stranice poziva se stranidni

prekid. Aktivirani obradirrai prekida bi oslobodio jednu, na primer, najranije zauzetu fiziiku stranicu inl.nu fizidku adresu izbacio iz tebele stranica. Obradivai prekida bi, zatim, obezbedio da u oslobodenu

fizidku stranicu bude smeStena kopija potrebne virnrelne stranice, a u odgbvarajudi element tabele

stranica bi srnestio adresu oslobodene fizidke stadce.

35. Koje su mane raEunara trede generacije?Ozbiljna mana raEunara tre6e generacije se odnosila na neprevidivost odziva u interaktivnom radu,itoje negativno uticalo na produktivnost Programera.

36. Da [i radlu rnemoriju sa reiima od 4 bajta, primarna reI rnoZe da ima adresu 24?

slika 10.2.1 str. 198 Adresa primarne reii trebaju biti deljivi, bez ostatka, sa brojem 4.

87. Da li radnu rnemoriju sa reiirna od 4 bajta, sekundarna reE nnoie da irna adresu 24?

slika 10.2.1 str 1-98. NernoZe po5to je to primarna rei.

38.Koje su karakteristike formatiranog magnetnog diska?

Kod formatiranog diska cela staza se ne koristi za smeStanje podataka, Pa zato kapacitet formatiranog

diska manji oko 15% od kapaciteta neformatiranog diska. Na stazi formatiranog diska podaci se nalaze

u sektorima. Pored sektora sa podacima, na stazi ispred svakog sektora nalazi se prethodnica (

preamble) , aizasvakog sektora sledi kod za korekciju grelke (error-correcting code, ecc) i

medusektorski razmak.

39. Od dega zavisi srednje weme pristupa diska?

Srednje weme pristupa (bloku) diska (average diskaccess time) zavisi od:

-srednjeg wemena pomeranja (seektime) glave diska iznad staze,

-srednjeg wemep.a rotacije {rotational delay) sektora ispod glave diska,

-wemena prenosa (transfer time) bloka izmedu disk jedinice i njenog kontrolera,

-kao i od wemena kontrolera (controler tfme), koje on trofi pri prenosu bloka od i do radne

Page 30: Arhitektura racunara - skripta

memorije-

40.* Koji pojmovi su vezani za sabirnicu?Arbitar sabirnice.

41. Koje osobine ima sabirnica?Osobine sabimice su broj i wsta njenih linija, njena propusnost ( broj bajta koje ona moZe da prenese ujedinici wemena), kao i najvedi broj organizacionih komponenti koji mogu istowemeno biti na njuzakadeni.

42.Kako se dele sabirnice?Sabirnice se dele na sihrone i asihrone.Druga podela je podela prema nameni na:

1. memorijske sabirnice(Posveiene povezivanju procesora i radnih memorija),2.ulazno-izlazne sabirnice (posveiene povezivanju konfiolera masormih memorija i kontroleraulaznnih i izlaznih uretlaja)3.sistemske sabirnice (posveienje povezivanju procesora, radnih memorija i kontrolera)

43. Koji signali su vezani sa arbitar sabinice?Signal zahteva, signal odobrenja i signal zauzeta.

44. Koje su karakteristike memorijske sabirnice?Memorijske sabimice su posvedenje povezivanju procesora i radnih memorija.One imaju najvedupropusnost i najmanju duZinu.

45. Koje su karakteristike ulazno-izlazne sabirnice?Ulazno-izlazne sabirnice su posveienje povezivanju kontrolera masovnih memorija i kontrolera ulaznih -i izlaznih uredaja.One imam najmanju propusnost i najvedu duZinu.

46.* Kako se dele asocijativne memorije?Na punu asocijativnos! dvostruku asocijativnost i jednostruku asocijativnost.

47. Kolko komparatora ima asocijativna memorija sa punom asocijatino56u?Kolko ima lokacija tolko ima i komparatora.

48. Sta sadrZe lokacije asocijativne memorije?Referenfrru adresu, korespondentni sadrZaj i bit popunjenosti. Slika 10.2.4 st.207 i bit izmenjenosti.49. *eemu je proporcionalan Icvalitet asocijativne memorije?Broju lokacija, broj komparatora i brzina pristupa lokacijama.

50.* Kada skrivena memorija ukida pristup radnoj memoriji?Pogodak, kod ditanja sadrZaja ne zahteva pristup radnoj memoriji.

51. Sa kojim konceptom koncept skrivene memorije nije u saglasnosti?Koncepti slrivene memorije i memorijski preslikanog ulaza tzlazanisu u saglasnosti, jer ulazno-izf,annuredaji mogu da izmene sadrZaj lokacije radne membrije, i da tako udine neaZurnim njihove kopije uskrivenoj memoriji.

52.* Koje bite sadrZe lokacije asoiijativne memorije iz kontrolera skrivene memorije?

Page 31: Arhitektura racunara - skripta

Bit popunjenosti i bit izmenjenosti.

S3.Kako se smanjuje veliiina tabele stranica?Uvoclenjem organizacije tabele stranica u dva nivoa.

54.* Koje bite poseduju elementi tabele stranica?Bit popunjenosti, bit izmenjenosti , bit referenciranja i bit zabrane prebacivanja

55. Koliko delova ima virtuelna adresa kod organizacije tabele stranica u dva nivoa?3 dela, indeks tabeie stranica prvog nivoa, adresa virtualne stranice i unutra5nja stranica.

56. Koja je prednost organizacije tabele sftanica u dva nivoa?Prednost organizacije tabele stranica u dva nivoa je da ona zahteba postojanje samo tabele sa prvognivoa i samo koriSdenje tabele sa drugog nivoa. Arrjih ima malo za kratke slike procesa, jer onezauzimaju malo stranica.

57. Sta iini osnovne nivoe rnernorijske hijerarhije?Memorijska hijerarhija ima detiri osnovna nivoa:-Na prvom (najviSem) nivou, nalaze se procesorski registri op5te namene,-na drugom nivou nalazi se skrivena memorija,-na tre6em nivou nalazi se radna memorij4-na Eetwtom (najniZem) nivou nalazi se masovna memorija.

58. Ko brine o prebacivanju kopije sadrZaja lokacija sa jednog na drugi nivo men-rorijskehijerarhije?-O prebacivanju kopija sadrZaja sa drugog na prvi nivo brine kompajler, odnosno, ma5inska naredbakoju on generiSe.

-O prebacivanju kopije sadrZaja sa tre6eg na drugi nivo brine procesor, odnosno njegov kontrolervirtualne memorije i operativni sistem.-Prebacivanje kopija sadrZaja stranica sa Eetwtog nivoa na treii nivo pokrede procesor, ali, poSto je toprebacivanje znatno dugotrajnije od prekljuiivanja, procesor ne ieka na kraj piebacivanja, nego seprekljuduje na izvrlavanje drugog programa. Zato u ovorn prebacivanju udeswuje operativni sistem.

59. Kada se javtrja problem sihronizacije?1. Primer kordinate misha,rezultat ovakvog dogadaja je prikazivanje kursora u pogreinojpoziciji.Z.Problem sinhronizacije se javlja i izmedu procesa koji dele neki resurs radunara (Stampai).

60. Kako se re5ava problenn sihronizacije?1.On se reiava onemogu6enjem prekida dok pozadinski proces preuzima sadrZaj deljenogbafera. Time se spreiava da se za vreme preuzimanja sadrZaja ovog bafera taj sadriaj budeizmenjen.2.Problem deljenih resursa se relava zauzimanjem deljenog resursa pre njegovog kori5tenja.

Page 32: Arhitektura racunara - skripta

L1. Evolucioni period arhitekture radunara oko 1980. godine

t. Sta je Einilo tehnololku osnovu raEunara Eetwte generacije ?

Tehnolo5ku osnovu raEunara detwte generacije su iinila visoko integrisana kola(LSI ,large Scale Integration).

2. Za1toje tehnologija visoko integrisanih kola istisnula tehnologiju integrisanih kola?Tehnologija visoke integracije se oslanjala na gotovo potpuno automatizovano projektovanje iomogudavala je gotovo potpuno automatizovanu masovnu proizvodnjum 5to je dovelo do velikog

. sniZavanja cene. Pored toga, na ovaj natin proizvedeni dipovi ( sa stotinama hiljada Eanzistora na sebi)

su imali prednost u pogledu pouzdanosti, brzine pada, potro5nje energije i toplotnog zraEenja.

3. Za5to su poluprovodniEke memorije istisnule iz upo&ebe memorije sa magnetnim jezgricama?Zato 5to su omoguiile pravljenje veiih i pouzdanijih radnih memorija sa kraiim wemenom pristupa ipo niZoj ceni.

4. Sta karakteriSe poluprovodnilke memorije?Po naEinu funkcionisanja poluprovodnidke memorije su podeljene na statiEke SRAM (static RAI{[) idinamidke DRAM (Dynamic RAM). i statidke i dinamidke memorije su imale dvodimenzionalnuorganizaciju, radi obaranja proizvodne cene Iipova.

5.* Sta je karakterisalo Eetrrytu generaciju raEunara?-Pro5irenje adresnog prostora na 32 bita.-Mikro- raEunari

6. Sta je mikro-kontroler?Primene, vezane za automatsko upravljanje, su dovele do pojave raEunara na Eipu, nazvanih mikro-konnoleri (microcontroller), kao Sto je, na primer,bio milao-kontojer Intel 8051.

z. Sta je karakterisalo personalne raEunare?Mikro-raEunari su omogu6ili interaktivni rad predvidivog odziv4 jer su, zahvaljuju6i niskoj ceni, mogliu potpunosti biti posveieni jednom korisniku. Za ovakve, personalne raEunare vezana je masovna -upotreba grafidkih terminala.Za personalne raEunare je vezana pojava magnetnih diskova (floppy diskene)

e. Sta je karakterisalo raEunarske mreie?KarakteristiEan je nastanak mreZnih operatirmih sistema,koji su omoguiivali laksu komunikacijuizmedu raEunara u mreZi.

g. Sta je karakteristiEno za protoEnu strukturu?Razlaganje izwiavanja naredbe na medusobno nezavisne korake iste duiine, jednake cikluse procesora,

i posve6ivanje posebnog dela procesora svakom od ovih koraka, omoguEuje preklapanje rada ovihdelova. Pri tome se podrazumeva da s upomenuti delovi ili stepeni (step) procesora povezani uprotofne s.tukrure @ip eline).Organizacija procesora sa protodnom strukturom zahteva punu medusobnu uezavisnost stepena

Page 33: Arhitektura racunara - skripta

protoEne stukture, radi preklapanj a nj ihovih aktivnosti.

L0. Cernu je pribtiino jednako srednje werne izvrlavanja jedne naredbe u reZimu rada sadugotrajnim preklapanjem?Ovo srednje vreme je pribliZno jednako wemenu jednog procesorskog ciklusa za veliko m,jer je tadaprekiapanje dugotrajno, pa se vreme punjenja protodne strukture raspodeljuje na veliki brojprekloplj enih izvriavanj a naredbi.

11. Sta doprinosi dugotrajnosti preklapanja?Znaci na dugotrajnost preklapanja utiie arhitektura naredbi.PoveSfanje dugotrajnosti preklapanja mogu da doprinesu kompajleri.Dobrinose vektori podataka.I prepletena radna memorija.

12. Sta karakteriSe super-radunare?Radunari detvrte generacije, diji su procesori radili u reZimu preklapanja i uz to podrZavali vektorskenaredbe, odskakali su po broju obraclenih podataka u jedinici vremena od ostalih raiunara ienrnegeneracije, pa su zasluzili ime super-raiunari (supercomputer).

13. Sta kod segmentne organizacije radne memorije dele procesi, koji odgovaraju istornprograrnu?Segment naredbi mogu da dele slike svih procesa koje odgovaraju izw5avanju istog programa.

14. Koliko delova ima logiika adresa iz segmentirane radne rnennorije?Slika 11.2.1 sE 233 . Adresu segmenta i unutralnju adresu.

15. Sta sadrie elernenti tabele segmenata?Za svaki segment procesa u ovakvoj tabeli segmenata postoji poseban element sa baznom adresomsegmenta, duZinom segmenta, pravilima pristupa segmentu i podatkom da Ie je element popunjen.

L6. Koja su prava pristupa segmentu?Spreiavanje nenarnenskog koriSiemka seg,emata zahteva uvodenje prava pristupa segmentu, kao Sto supravo izwlavanjam, pravo ditanja i pravo pisanja segmenta.

17. Kako se pretvara logiika adresa iz segnnentirane radne mennorije u fiziiku?Ako je provera uspeSna, fizidka adresa nasteje sabiranjem (ili spajanjem) bazne adrese segmenta injegove unutainje adrese (slika LL.Z.L).

18. Sta omogu6uje puna segmentacija?Prethodno'opisana osnovna segmentacija se pretvara u punu segmentaciju, ako se broj segmenatapoveia, tako da biblioteke potprograma ili grupe promenjivih mogu biti smeitene u zasebne segmente.

19. Koliko delova ima logiika adresa kod straniine segmentacije?U ovom sluEaju elementi tabele segmenata ne sadrZe baznu adresu segmenta, nego podetnu adresutabele stanice dotidnog segmenta,a logilka adresa se sastoji od adrese segmenta,od adrese virueLnestranice i od unutralnje adrese.

20. Kako se pretvara logiEka adresa kod straniEne segmentacije u fiziiku?U slodaju provere sa pozitivnim ishodom, indeksirani element tabele segmenata sadrZi podetnu adresu

Page 34: Arhitektura racunara - skripta

tabele stranica segmenta. Njene elemente indeksira adresa virtuelne stranice, radi pronalaZenja adrese

fiziEke stranice i njenog spajanja sa unutraSnjom adresom (slika 1L.2.2 stI.235).

2L.Zaito je uvedena straniEna segmentacija?Za segrnentaciju je zgodno da se osloni na virnrelnu memoriju.da bi segmenti mogli biti vedi od fizidke

memorije. Ovakav spoj se naziva straniEna segmentaciiaQtaged segmentation).

22. Kada mi5 Salje poruku?Kada pomeranje bude vede od neke unapred zadane vrednosti, on ka raEunaru Salje poruku sa podacima

o relativnoj promeni pozicije. Do slanja dolazi i kada se itisne neka dirka na mi5u.

23. Sta karakteri5e video memorija grafiEkog terminala?Video memorija sadrZi piksele koji se prikazuju na elranu. Video memorija ima dva ulaza (dual portmemory)

24. Sta odretluje tabela boja grafiEkog terminala?Tabela boja sadrZi potpune kodove nijansi boja.Ona odreduje boju. :)

2s.*Koliko se moZe prikazati nijansi boja i koliko je potrebno bita za piksel, ako tabela boja ima

4 elementa?Mislim da se moZe prikazati 4 odabrane nijanse i mislim da je dovoljno 2 bita za piksel.

26. Koje su najpoznatije wste lokalnih mreia?Dve najpoznatije wste lokalnih mreZa su eternet (ethernet) i mreZa sa putujuiim Zetonom (token ring,tokenbus).

Z7.Po Eemu se razlikuju eternet i mreZe sa putujufim Zetonom?

Razlikuju se po nadinu na koji kontoleri stidu pravo da emituju pakete.

28. Koje su lokalne mreZe dobre za velika, a koje za mala opterefenja?MreZa sa putujudim Zetonom je dobra za velika optereienja, a eternet je dobar za mala optereienja.

2g. Kako se re5ava problem zagu5enja sabirnice kod viSeprocesorskih raEunara sa zajedniEkom

sao-irnicom?Ovaj problem moZe da se reii kori5denjem vi5e sabirnica, ali po cenu duZe razmene podataka izmedu

organizacionih komponenti radunara, zakadenih na razne sabimice.Ideja koriSdenja viSe sabirnica ima

laajnosr u unakrsnoj matrici (crossbar) . Slika Ll-2-4 sft. 240

Skrivene memorije dobrinse i smanjuju opteredenje sabirnice-

30. Kako se re5ava problem sanhronizacije procesa kodviSeprocesorskih raiunara sa

zaj edniEkom sabirnicom?To znaEi d4 u primeru zauzimanja resursq dva procesa mogu istovremeno zapoteti zauzimanje istog

resrusa. U ovakvim okolnostima neophodnu nedeljivost ditanja i pisanja lokacija stanja resursa

obezbeduje zakljuEavanje sabirnice na vreme obavljanja ovih operacija. To se moZe oswariti pomodu

posebne upravljail<e linije za zakljuiavanje sabirnice (look)-

Page 35: Arhitektura racunara - skripta

L2. Evotrucioni period arhitekture raEunara oko 1990. godine

1. Sta je uzrokovalo pojavu RISC arhitekture?Tehnologija visoke integracije (VLSD, je omogucila znatro skracenje procesorskih ciklusa, poduslovom da se upravljanje procesorom osloni na ozicenu upravljacku jedinicu. Radi toga je bioneophodno temeljito pojednostavljenje CISC arhiteknrre naredbi. Ovo pojednosavljenje se zasnivalo nasmanjenju broja naredbi u skupu naredbi, kao i na smanjenu broja podrzanih adresiranja itipovapodataka. Racunari sa pojednostavljenom arhiteknuom naredbi su oznaceni skracenicom RISC(Reduced Instuction Set Computer).

2. Sta je omogucila RISC arhitektura?RISC arhitektura naredbi je dozvolila da se upravljanje procesorom prepusti ozicenoj upravljackojjedinici i da se u znacajnijoj meri ostvari preklapanje rada nezavisih delova procesora.

3. Koja su fizicka ogranicenja skracenja procesorskog ciklusa kod poluprovodnicketehnologije?

Skracenje procesorskog ciklusa je ograniceno cinjenicom da buina prostiranja signala ne moze precibrzinu svetlosti od oko 3x108 metara u sekundi. Znaci procesorski ciklus mora biti toliko dugacak da utoku trajanja ciklusa signal moze da predje put od svog izvorista do svog odredista. To ogranicavavelicinu racunara, odnostno njegov precnik (diameter)

- 4. Od cega zavisi precnik racunara?Precnik racunara je jednak polovini puta koji signali mogu da predju u jendom procesorskom ciklusu,jer se podrazumeva, na primer, da signali odlaze od procesora ka radnoj memoriji i da se wacaju odradne memorije ka procesoru.

5. Da li skrivena mamorija utice na precnik racunara? ***

6. Od cega zavisi velicina ubrzanja obrade podataka, nastala pnirnemom paraielizina?Velicina poboljsanja izazvanog primenom paralelizma, zavisi od dva faktora. Jedan je udeo (s) koji uukupnom wemenu obrade svih podataka ima njen sekvencijalan deo. Drugi je moguci stepenparalelizma (n) koji odredjuje najveci moguci broj istowemenih obrada pojedinih podataka.(videti stranu 247. zavise informacija)

7. Koji modeli racunanja postoje?Postoji rnodel upravljackog toka (control flow model, control driven modei) jer su na redoslediz'vrsavanja naredvi uticale upra-vljacke naredbe, izmenom stanja programskog brojaca.I postoji model toka podataka (data flow model, drata driven model). On je podrazumevao da se

medjuzavisnost operacija, sadrzanih u obradi podataka, oznaci vezivanjem izlaznih operanada jedneoperacije za ulazne operande druge operacije.

8. Koji oblici paralelizma su nevidljivi za programere?U oblike paralelizma, koji su nevidljM za programere, spada preklapanje rada siepena protocnestrukture procesora (ILP), koje je dovodilo do smanjenja srednjeg bi'oja ciklusa po naredbi, ubrzavajucitako izr,rsavanje korisnickih programa i to bez ikakve intervencije progiamera.

Page 36: Arhitektura racunara - skripta

g. Kako se na osnovu broja tokova naredbi i broja tokova podataka dele paralelni racunari?paralelni racunari, na osnovu broja tokova naredbi i broja tokova podataka, se dele na:

vise tokova naredbi i vise tokowipodataka - MIMD (Multiple Instruction sfteam Multiple Data stream)

jedan tok naredbi i vise tokova podataka - SIMD (Single Instruction sEeam Multiple Data strearn)

jedan tok naredbi i jedan tok podataka - SISD (Sing1e Instruction stream Single Data stream)

vise tokova naredbi sa jednim tokom podataka - n4iSn (Multiple Insfuction stram Single Data stream)

10. U koju kalasu racunara spadaju sistolicki racunari?

Sistolicki racunar donekle spada u definiciju MISD racunara, sastavljenog od prostorno gravifrorasporedjenih procesnih elemenata, koji su medjusobno povezani; da bi luoz njig prolazili podaci u

toku woje obrade.

11. Od cega se sastoje SIMD racunari?SIMD racunari se sastoiJ od skalame jedinice, koj izwsava skalarne naredbe i vektorske jedinice koja

izwsava vektorske naredbe.

12. Sta je slaba tacka SIMD racunara?Za SIMD racunare je izrazen problem pouzdanosti, jer sto je veci broj procesnih elemenata, to je manja

verovatnoca da su svi oni istovremeno ispravni-

13. U cemu se razlikuju multiprocesorski i multiracunari?Multiprocesori imaju cwsto spregnutu organizaciju, a multiracunari imaju labavo spregnutu

organizaciju.

14. Sta karakterise NUMA multiprocesore?Kod NUMA (Non Uniforrn Memory Access) racunara je uveden modul zajednicke radne memorije sa

razlicitim vremenima pristupa. Kod NUMApristupa svaki od modula zajednicke radne memorije se

pridruzuje jednom od proceiora, tako da je vreme pristupa lokacijama sopswenih modula znatno krace

od *.*L.ra pristupa Iokacijama tudjih modula. NUMApristup se oslanja m pretpostavku da procesori

najcesce pristupaju svom modulu ril.dni.k" memorije. Mana ovoga pristupS je da programer mora da

poznale organiiaciju NUMA multipiocesor4 da bi na najbolji nacin rasporedio programe u podatke po

modulima radne memorije.

15. Na cemu se zasniva oq)ornost na kvarove?

otpomost na kvarove se oslanji na primenu vise racunara, koji mogu npr, istovremeno da obavljaju iste

poilo1r. i da razmenjuju rezultate svojih aktivnosti, radi njihovog poredjenja. Ako se pojave razliciti

iezultati, tada se kao iipravan, usvajarezultat koga je proizvela vecina racunara (majorrty voting).

Moguceje i da sauio jedan, glavni i..rna obavlja zadani posao, a da dodatri, rezervni (stand by)

racunar prati njegov rad.

16. Sta karakterise RISC procesore?

Skupovi naredbi RISC pro..sora sadrze uglavnom samo neophodne naredbe kao sto su naredbe

pr.nor" pod"ataka izmedlu lokacija radne memorije i registara procesora Ooad, store) naredbe

celobro;ne aritnetike i aritnetike realnih brojeba, kao i logicke upravljacke naredbe. Uzto, RISC

pro..rori uglavnom dozvoljavaju smao neposredno, registartsko i indeksno adresiranje. oni irglarmom

podrzavajui*o celobrojne i realne tipove podataka (raznih preciznosti).

17,Sta su super-skalari?

Page 37: Arhitektura racunara - skripta

Procesori koji mogu da izwsavaju istowemeno vise naredbi, nazivaju se super-skalari (superscalar).Kod super-skalara se podrazumeva da dobavljanje naredbe, njeno dekodiranje, dobavljanje operanada iodlaganje rezultata traju kace od izwsavanja operacije.

18. Kakvi prekidi mogu biti kod super-skalara?Prekidi su precizni (precise interrupt), ako pokretanju mehanizma prekida prethodi zawserak svihzapocetih izwsavanja naredbi. U suprotlom su prekidi neprecizni (imprecise intemrpt).

19. Kakvi su formati masinskih naredbi kod RISC procesora?Formati masinskih naredbi RISC procesora su pravilni, znaci imaju isti respored polja i istu duzinu zasve naredbe.

20.2a sta su zaduzeni kompajleri kod RISC procesora?Velicina ubrzanja rada RISC procesora zavisi od kompajlera. Njegov zadatak je ne smao da generisenajbolje nizove masinskih naredbi, nego i da masinske naredbe u ovim nizovima tako rasporedi, da seostvari sto dugotrajnije preklapanje njihovih izwsavanja. Tako, kompajler razdvaja rne-djusobnozavisne naredbe, kod kojih, npr, rezultat izvrsavanja jedne naredbe predstavlja ulazni operand druge icije preklapanje nije moguce.

2L. Za sta su zaduzeni RISC procesori? **822. Sta podrzava IEEE754 standard za aritmetiku realnih brojeva?

IEEE 754 standard podrzava 3 formata masinske normalizovane forme realnih brojeva. Takodjepodrzava i denormalizovane (denormalizes) brojeve. Oni se koriste za predstavljanje manjih wednostiod onih koje se mogu predstaviti masinskom normaliz.ovanom formom. Na taj nacin se problempotkoracenja (underflow) ublazava. IEEE 754 standard uvodi i oznake za beskonacno (infinity) ineodredjeno (not a nurnber), koje nastaju kao rezultat deljenja konacnog broja sa nulom ili deljenjabeskonacnog sa beskonacnim.

23. Sta je karakteristicno za INTEL PENTIUM Pro procesor?Argitektura naredbi za INTEL PENTIUM Pro je prosirena u odnosu na arhitekturu naredbi za INTEL80386, naredbama za aritmetiku realnih brojeva, naredbama za rukovanje potrosnjom energije,naredbama za multimedijalne primene (MMX) kao i manjim brojem drugih naredbi razlicite namene.INTEL PENTIUM Pro je super-skapar koji moze da izwsi do 3 naredbe u jednom procesorskomciklusu (three-way superscalar). Unutar ovoga procesora se nalazi RISC jezgro sa 12-stepenomprotocnom stukturom, koja dozvoljava izwsavanje naredbi van redosleda. Ovaj procesor se oslanja nadva nivoa skrivene memorije LLiLZ.

24. Sta omogucuje RAID?P.AID omogucava organizovanje diskova u niz i na taj nacin smanjenje srednje r,Temena pristupa disku.Za k diskova u nizu, srednje vreme pristupa se smanjuje za svoj k-ti deo.

25. Koje tehnologije masovnih memorija postoje?Tehnologij a magnetnih diskovaTehnologija optickih diskovaTehnologija poluprovodnickih diskova

26. Sta je karakteristicno za invertovanu tabelu stranica?Broj stranica invertovane tabele stranica (inverted page table) je jednak broju fizickih s&'anica radne

Page 38: Arhitektura racunara - skripta

memorije. Znacisvakoj fizickoj stranici odgovara jedan element ove tabele tako da indeks elementa

adresiriodgovarajucu fizicku stranicu. Na invertovanu tabelu stranica se primenjuje princip rada

asocijativne memorij e sa' jednostrukom asocijativnoscu.

27 . Staje karakteristicnoza spojne mreze?

Spojne rueze omogucuju povezivanje raznih organizacionih komponenti racunara. One omogucuiu

r.ripf.i prenos poditaki t<o1i su organizovani u poruke. Spojne mreze imaju pravilan oblik ideclnmlizovano upravtlanje. Njihov pravilan oblik omogucuje automatsko odredjivanje puta podatka

lnoz spojnu mrezu. Upravljanje spojnim mrezama je decentralizovano jer se odluke o izboru puta

donosl tltano u okui* spojne rnreze, a na osnovu adresnog dela pomke koja se serijski prenosi.

osobine spojnih rnreza zavise od komponenti koje one povezuiu.

28. Kako se dele sPojne mreze? x**Dele se na staticke spojne rueze i na dinamicke spojne fiueze.

29. Kako se dele visestepene prekidacke mreze?

Dele se na blokirajuce visestepene ulreze i neblokirajuce visestepene Inreze.

30. sta je karakteristicno za visestepene prekidacke mreze? *t*31. Koje vrste statickih spojnih rnreza postoje? ***

Dvodimenzionalna rueza, hiperkock4 debelo drvo

32. Sta karakterise hiperkocku? ***Dimenzije hiperkocke odredjuju najvecu mogucu od minimalnih udaljenosti bilo koja 2 cvora. Ovu

udaljenost obrzuju ivice hiperkocke.33. Sta omogucuje barijerna sinhronizacija?

Barijerna sinhronizac{a predsta[va posebnu wstu uskladjivanja a]rtivnosti vise procesa koji su

pridruzeni razlicitim pioeesorimi. Ilovakvim iteracionim proracunima svaki proces moze da predje na

narednu iteraciju, tek kada svi procesi zavrse tekucu iteraciju.

34. Na cemu se zasniva barijerna sinhronizacija! xxx

Zasniva se na brojanju procesa koji su zawsili tekucu uteraciju tj. Koji su stigli do singronizacione

barijere. Kada pro..i rtigr. do sinhronizacione barijere, on preuzima i uveca brojac za 1. Pri tome se

ne srne dozvoliti da vise procesa jedan za drugim procitaju isti sadrzaj brojaca i da zatim nakon

uvecanja procitanog sadrzaja za vrednost L, opeg jedan za drugim, upisu wednost uvecanu za jedan, jer

tada wednost brojaca nece biti isprarma-

l3.Evolucioni period arhitekture raEunara oko 2000. godine

1. Kakva podela raEunara se nametrula u evolucionom periodu oko 2000. godine?

Podela na: ugradene raEunare, radne stanice i seryere.

2. Koji pojmovi su vezani za ugradene raEunare?-pr'rm;; sa ogranidenjima na vreme raspoloZivo za obradu podataka (real-time system),

-DSp (digital signal processor) - precizni numeridki proraduni;

-vehka izdrZljivosg mala potrolnj a,

-radunari za jednokratru upotrebu.

Page 39: Arhitektura racunara - skripta

3. Sta karakterile radne stanice?Veliki adresni prostor, virtualne memorija, viSe sabirnica i velika masovna memorija.

4. Kako se dele serveri?Dele se na: multiprocesore i muitiratunare.

5. Koji modeli konzistentnosti deljene radne memorije postoje?Postoji: stro ga konzistentnost, sekvencij alna konzistentnost i dru ge.

6. Kako se dele miltiraiunari?Dele se na: MPP (massively parallel processors) i klastere.

7. Koje spojne mreie koriste klasteri?Koristi komercijalne spojne nreZe: eternet, prekidaii, sistemske mreZe

8. Kako se pove6ava broj raspoloZivih nezavisnih naredbi, koje se Hnogu istovremeno izvrSavati?-Da se izw5avanje pojedinih naredbi zapodne pre nego 5to se zna da li ie uop5te biti izvr5avane(sp e cul ativ e executi on).-programer treba da oznaEi nezavisne delove programa koji se nazivaju niti (thread).

X-4" Procena osobine radunara.

1.Od iega zavisi weme izwSavanja procesorske naredbe?Zavisi od trajanja procesorskog ciklusa i brojem ciklusa u procesorskoj naredbi

2.5ta odretluje kolilnik frekvencije procesora i srednjeg broja procesorskih ciklusa poprocesorskoj naredbi?Srednji broj (frekvencija) naredbi koje procesor moZe da izw5i u jedinici vremena.

3.Sta odreduje reciproina vrednost wernena memorijskih cikXusa?Odreduje propusnost radne memorije, odnosno broj titanja/pisanja lokacija radne memorije u jediniciwemena

4.5ta odreduje reciproina vrednost srednjeg vremena pristupa diska?

S.Od Eega zavisi uspe5nost raEunara?-Osobina i usagla5enost njegovih organizacionih komponenti,-od osobine izwSavanog programa, odnosno od toga da Ii u njemu preodaduju proraduni, interaktirnirad ili ulaz i izlaz podataka,-od operativnog sistema, ali i-od kompajlera