analogowe układy mnoŜące - ue.pwr.wroc.pl · przykładowe scalone transkonduktancyjne układy...

25
2010-05-17 1 Analogowe układy mnoŜące Wprowadzenie Zadaniem analogowych ukladów mnożących jest wytworzenie napięcia wyjściowego proporcjonalnego do iloczynu napięć wejściowych: R y x y x m wy E u u u u k u = = gdzie: u x , u y – napięcia wejściowe, k m = 1/E R – stala skalowania, E R – normujące napięcie odniesienia, zazwyczaj +10V lub -10V.

Upload: doananh

Post on 27-Feb-2019

228 views

Category:

Documents


3 download

TRANSCRIPT

2010-05-17

1

Analogowe układy mnoŜące

Wprowadzenie

Zadaniem analogowych układów mnożących jest wytworzenie napięcia wyjściowego proporcjonalnego do iloczynu napięć wejściowych:

R

yxyxmwy E

uuuuku ==

gdzie: ux, uy – napięcia wejściowe,

km = 1/ER – stała skalowania,

ER – normujące napięcie odniesienia, zazwyczaj

+10V lub -10V.

2010-05-17

2

Wprowadzenie

Układy mnożące możemy podzielić ze względu na zakresy napięć wejściowych:

- układy jednoćwiartkowe – układy, w których napięcia ux i uy są tego samego znaku,

- układy dwućwiartkowe – układy, w których jedno z napięć wejściowych ma ustaloną biegunowość,

- układy czteroćwiartkowe – układy, w których napięcia wejściowe uX i uy mogą posiadać dowolny znak.

Wprowadzenie

Układy mnożące (realizowane w technice scalonej) możemy podzielić także ze względu na sposób realizacji funkcji mnożenia:

- poprzez modulację szerokości i amplitudy impulsów prostokątnych,

- poprzez zastosowanie operacji logarytmowania i potęgowania,

- poprzez zastosowanie kwadratorów,

- poprzez wykorzystanie tranzystorowych wzmacniaczy różnicowych o zmiennej transkonduktancji (metoda sterowanego podziału prądu).

2010-05-17

3

Wprowadzenie

Rzeczywiste układy mnożące realizują operację mnożenia z pewnym błędem:

( )01 δ+=∆+=R

yx

R

yxwy E

uu

E

uuu

gdzie: ∆, δ0 – oznaczają odpowiednio błędy bezwzględny i względny.

Parametry dynamiczne mnożników:

- f3dB – małosygnałowa czestotliwość graniczna,

- fα – częstotliwość graniczna przy jednoprocentowym błędzie amplitudy,

- fφ – częstotliwość graniczna przy jednoprocentowym błędzie fazy,

- S – wielkosygnałowa maksymalna szybkość narastania napięcia wyjściowego

Wprowadzenie

Rys. 1. Odpowiedź układu mnożącego na standardowe pobudzenie wielkosygnałowe

( )max

dt

tduS wy=

Maksymalna szybkość zmian napięcia wyjściowego dana jest zależnością:

2010-05-17

4

Układ mnoŜącyz modulacją szerokości impulsu

E

UU

E

UE

E

UEU

T

t

T

tUU yxyy

xAB

xwy

⋅=

−−

+=

−=22

;2

;2 E

UE

T

t

E

UE

T

t yAyB−

=+

=

−=T

t

T

tUU AB

xwy

Układy mnoŜące z modulacją szerokości i amplitudy impulsów prostokątnych

Rys. 2. Układ mnożący wykorzystujący metodę modulacji amplitudy i szerokości impulsów: a)

przebiegi czasowe, b) uproszczony schemat ideowy

2010-05-17

5

Układy mnoŜące z modulacją szerokości i amplitudy impulsów prostokątnych

( )

=min

max

S

SS U

Utu Tx uu >

Tx uu <

Napięcie sterujące kluczem wyrażone jest zależnością:

12 1 −=T

T

E

u

R

x

R

yxyWY E

uuu

T

Tu =

−= 12 1

dla

Dla napięcia ux zachodzi związek (rys.2a):

Napięcie wyjściowe układu:

Układy mnoŜące z modulacją szerokości i amplitudy impulsów prostokątnych

Zaleta układu - duża dokładność – błąd statyczny δ0 mieści się w zakresie (0.01…0.1 %), f3dB = 1kHz, S <0.7V/ms.

Ograniczenia:

- czas przełączania klucza, który powinien być pomijalny w stosunku do okresu przełączania,

- częstotliwość uśredniania filtru dolnoprzepustowego powinna być dużo większa od częstotliwości sygnału

Dlatego układy te stosuje się w przypadku mnożenia przebiegów wolno zmiennych. Dodatkowa wada – duże skomplikowanie układu i wysoki koszt.

2010-05-17

6

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

R

yxE

u

E

u

RWY E

uueeEu

RE

yu

RExu

R

y

R

x

===

+ lnlnln

Układy mnożące oparte na wzmacniaczach logarytmujących i wykładniczych realizują funkcję:

0, >yx uudla

Rys. 3. Schemat blokowy układu mnożącego wykorzystującego wzmacniacze logarytmujące i wzmacniacz realizujący funkcję

wykładniczą

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

Rys. 4. Schemat ideowy układu mnożącego opartego na wzmacniaczach logarytmujących i wykładniczym

2010-05-17

7

Układ logarytmujący analogowy(z wykładu o nieliniowych zastosowaniach WO)

Układ logarytmujący analogowy(z wykładu o nieliniowych zastosowaniach WO)

2010-05-17

8

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

( )R

yxRyxwy E

uuEuuu =−+= lnlnlnexp

Układ realizuje funkcję:

dla 0,, >Ryx Euu

Zaletą układu jest pełna kompensacja temperaturowa, pod warunkiem, że wszystkie tranzystory mają takie same parametry i taką samą temperaturę. Dlatego układ powinien być zrealizowany w technice scalonej.

Wadą układu jest to, że wszystkie napięcia wejściowe muszą być dodatnie, czyli układ jest mnożnikiem jednoćwiartkowym.

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

Rys. 5. Schemat blokowy czteroćwiartkowego układu mnożącego zbudowanego ze wzmacniaczy logarytmujących

i wzmacniacza wykładniczego

2010-05-17

9

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

R

yxwy E

uuu

''' =

0' >−= xRx uEu0' >−= yRy uEu

W układzie tym, na wyjściu mnożnika jednoćwiartkowego występuje napięcie:

( )( )R

yxyxR

R

yRxRwy E

uuuuE

E

uEuEu +−−=

−−='

R

yxyxRwywy E

uuuuEuu =++−= '

dla ER > 0.

Napięcia pomocnicze:

Napięcie wyjściowe układu:

Układy mnoŜące wykorzystujące operacje: logarytmiczną i wykładniczą

W układzie tym zakres napięć wejściowych, dla ER = 10V, mieści się w przedziale: ux,y = (-10…+9.99)V.

Czteroćwiartkowe układy mnożące wykorzystujące operacje logarytmiczne i wykładnicze posiadają umiarkowany stopień złożoności, względnie mały statyczny błąd mnożenia (δ0 = 0.1…0.5 %), przy częstotliwości granicznej f3dB < 250kHz i S < 0.5 V/µs.

2010-05-17

10

Układy mnoŜące z wykorzystanie kwadratorów

Rys. 6. Schemat blokowy układu mnożącego wykorzystującego kwadratory

( ) ( ) yxyxyxwy uauuuauuau 422 =−−+=

Układ realizuje funkcję:

Układy mnoŜące z wykorzystanie kwadratorów

W rzeczywistych układach mnożących z kwadratorami błąd mnożenia zależy głownie od jakości kwadratorów. Funkcję paraboliczną w kwadratorach realizuje się za pomocą aproksymacji odcinkowej z wykorzystaniem drabinek diodowo – rezystorowych (patrz poprzedni wykład). W celu zmniejszenia błędu aproksymacji układy te zawierają dużą liczbę diod, przez co w układach tych wymagana jest dobra kompensacja temperaturowa oraz źródła napięć odniesienia o dużej stabilności.Innym sposobem realizacji kwadratorów jest technologia CMOS, gdzie wykorzystuje się kwadratową zależność prądu drenu ID tranzystorów od napięcia UGS.

Generalnie układy te posiadają lepsze parametry od omawianych wcześniej: δ0 < 0.5%, f3dB <2 MHz, S < 3 µV/s.

2010-05-17

11

Transkonduktancyjne układy mnoŜące

Rys. 7. Dwućwiartkowy mnożnik transkonduktancyjny (modulator pojedynczo zrównoważony)

Transkonduktancyjne układy mnoŜące

( ) ymy ugIui += 00

T

xCWYR U

utghRiu

20=

T

x

T

x

U

u

U

utgh

22≈

Źródło prądowe wzmacniacza ma wydajność opisaną zależnością:

Tx Uu 2<<

( )T

yxCm

T

xC

T

xCymWYR U

uuRg

U

uRI

U

utghRugIu

222 00 +≈+=

Różnicowe napięcie wyjściowe wzmacniacza:

Korzystając za zależności:

dla

Napięcie wyjściowe układu wynosi:

2010-05-17

12

Transkonduktancyjne układy mnoŜące

Rys. 8. Czteroćwiartkowy transkonduktancyjny układ mnożący (modulator podwójnie zrównoważony)

Transkonduktancyjny układy mnoŜącyzasada działania

2010-05-17

13

Transkonduktancyjny układy mnoŜącyzasada działania

Transkonduktancyjny układy mnoŜącyzasada działania

2010-05-17

14

Transkonduktancyjny układy mnoŜącyzasada działania

Transkonduktancyjne układy mnoŜące

Tyx Uuu <<,

CWYRWYR Riu =

( )

yxTT

x

T

y

T

x

T

x

T

xWYR

uuU

I

U

u

U

uI

U

utghii

U

utghi

U

utghii

20

0

6565

422

222

=

=

−=

=

Wyjściowy prąd różnicowy układu wynosi:

przy napięciach wejściowych:

Napięcie wyjściowe układu dane jest zależnością:

2010-05-17

15

Transkonduktancyjne układy mnoŜące

Wadą obu prezentowanych mnożników transkonduktancyjnych jest bardzo mały zakres napięć wejściowych. Można ten zakres powiększyć dwoma sposobami:

- zastosowanie tzw. przetwornika Gilberta,

- zastosowanie we wzmacniaczach różnicowych dużych rezystancji emiterowych czyli sprzężenia zwrotnego (patrz „Wzmacniacze prądu stałego”).

Bardzo często stosuje się oba sposoby linearyzacji jednocześnie.

Transkonduktancyjne układy mnoŜące

Rys.9.Linearyzacja charakterystyk transkonduktancyjnego układu mnożącego: a) charakterystyki przejściowe układu,

b) przetwornik Gilberta

2010-05-17

16

Transkonduktancyjne układy mnoŜące

Para różnicowa jest sterowana napięciem na diodach D1 i D2. Jeżeli złącza diod i złącza baza-emiter tranzystorów mają takie same charakterystyki napięciowo – prądowe to, przy zachowaniu warunków

iA + iB = const, i1 + i2 = const, zachodzi proporcja:

B

A

i

i

i

i =1

2

Diody są zazwyczaj sterowane prądami kolektorowymi oddzielnej pary różnicowej, która może być zlinearyzowana poprzez zastosowanie rezystorów emiterowych, co powoduje także linearyzację pracy pary różnicowej T1, T2.

Transkonduktancyjne układy mnoŜące

Rys. 10. Zlinearyzowany układ czteroćwiartkowego mnożnika transkonduktancyjnego (rezystory: Rx, Ry, RCM, RC są zazwyczaj dopinane do

układu scalonego)

2010-05-17

17

Transkonduktancyjne układy mnoŜące

x

xx R

ui =

y

yy R

ui =

01

2I

iii yxWYR =

Napięcia wejściowe są powiązane z prądami wejściowymi zależnościami:

Różnicowy prąd wyjściowy układu jest dany równaniem:

Napięcie wyjściowe obliczamy z zależności:

yxmyxyx

CCWYRWYR uukuu

RRI

RRiu ===

01

2

yx

Cm RRI

Rk

01

2=gdzie jest stałą mnożenia, różną zwykle 0.1 V-1.

Transkonduktancyjne układy mnoŜące

Rys.11. Typowe zlinearyzowane charakterystyki układu mnożącego i jego symbol

2010-05-17

18

Uniwersalne układy mnoŜące

Rys. 12. Uniwersalne układy mnożące: a) z niesymetrycznym wejściem, b) z symetrycznym wejściem, c) oznaczenie układu z rys.b

Uniwersalne układy mnoŜące

R

yxWY E

uuu =

x

ZRwy u

uEu = 0<xu

I tak przykładowo dla układu z rys. 12a:

- podłączenie wyjścia wzmacniacza z wejściem Z (uz = uwy):

ZRwy uEu −= 0<zu

- podłączenie wyjścia wzmacniacza z wejściem Y (uy = uwy):

dla

- podłączenie wyjścia wzmacniacza z wejściami X i Y

(ux = -uy = uwy): dla

Przykład uniwersalnego wzmacniacza mnożącego – AD535.

2010-05-17

19

Uniwersalne układy mnoŜące

Tabela 1. Przykładowe scalone transkonduktancyjne układy mnożące

Zastosowanie układów mnoŜących – układy dzielące

Rys. 13. Układ dzielący zbudowany z wykorzystaniem mnożnika

RY

ZWY

Z

R

YWY

ER

R

u

uu

R

u

RE

uu

1

2

12

0

−=

=+

2010-05-17

20

Zastosowanie układów mnoŜących – układy dzielące

y

zRxwy u

uE

R

Ruu

1

2−≈= 0>yu

Napięcie wyjściowe układu dane jest zależnością:

y

R

y

ZRwy u

E

u

uE

R

Ru 0

1

2 δ+−=

dla

Uwzględniając błąd operacji mnożenia:

Ważny jest wpływ amplitudy uy na wartość błędu.

Zastosowanie układów mnoŜących – układy pierwiastkujące

Rys. 14.Schemat układu pierwiastkującego wykorzystującego układ mnożnika

( )

RZWY

Z

R

WY

ER

Ruu

R

u

RE

u

1

2

12

2

0

−=

=+

2010-05-17

21

Zastosowanie układów mnoŜących – układy pierwiastkujące

zRxwy uER

Ruu

1

2−≈=

Napięcie wyjściowe układu dane jest zależnością:

0<zudla

Błąd operacji pierwiastkowania wzrasta wraz z maleniem napięcia uz.

Dioda półprzewodnikowa zapobiega zablokowaniu (zatrzaśnięciu) się układu gdy napięcie uZ będzie większe od zera. Przy braku diody i zastosowaniu uz >0 układ by się nasycił i powrót do normalnego działania byłby możliwy po rozwarciu pętli SZ.

Zastosowanie układów mnoŜących –dwupołówkowy prostownik precyzyjny

Rys. 15. Układ precyzyjnego prostownika dwupołówkowego zbudowanego z układu mnożącego

)sgn( XXWY uuu =

2010-05-17

22

Zastosowanie układów mnoŜących –przetwornik wartości skutecznej

Rys. 16. Układ przetwornika wartości skutecznej

Zastosowanie układów mnoŜących –przetwornik wartości skutecznej

Układ realizuje zależność:

( )∫=T

wewy dttuRCR

Ru

0

2

1

2 1

2010-05-17

23

Zastosowanie układów mnoŜących –przetwornik trójkąt - sinus

Rys. 17. Układ przetwornika trójkąt sinus zbudowany w oparciu o układy mnożące

Zastosowanie układów mnoŜących –przetwornik trójkąt - sinus

( ) ( ) ( )πωπ

ktU

tu TkT −−=

21

( ) ( )2

12

2

12 πωπ +≤≤− kt

k

( ) ( )

−+−= ...

!5!3sin

53 tttUtU mm

ωωωω

Wejściowe napięcie trójkątne dane jest zależnością:

( )

−≈=

81.6sin

3ttUtUu mmwy

ωωω

dla

Przebieg sinusoidalny można aproksymować szereg potęgowym:

Napięcie wyjściowe układu dane jest zależnością:

2010-05-17

24

Zastosowanie układów mnoŜących –detektor fazoczuły

Rys. 18. Schemat detektora fazoczułego

Zastosowanie układów mnoŜących –detektor fazoczuły

( )[ ]ϕϕ sin2sin2

21 ++Ω= tE

UUu

R

mmz

Napięcie na wyjściu układu mnożącego wynosi:

ϕsin2

21

R

mmwy E

UUu −=

Po odfiltrowaniu napięcia uz w filtrze dolnoprzepustowym otrzymujemy napięcie wyjściowe układu równe:

2010-05-17

25

Zagadnienia

1. Rodzaje i parametry analogowych układów mnożących

• Układy mnożące z modulacją szerokości i amplitudy impulsów prostokątnych

• Mnożenie w oparciu o układy logarytmujące i wykładnicze

• Mnożenie z wykorzystaniem kwadratorów

• Transkonduktancyjne układy mnożące

2. Zastosowania

• układ dzielący

• układ pierwiastkujący

• dwupołówkowy prostownik precyzyjny

• przetwornik wartości skutecznej

• przetwornik trójkąt – sinus

• detektor fazoczuły (będzie w następnym wykładzie)