primetime px brief

Post on 06-Apr-2018

243 Views

Category:

Documents

0 Downloads

Preview:

Click to see full reader

TRANSCRIPT

8/3/2019 Primetime Px Brief

http://slidepdf.com/reader/full/primetime-px-brief 1/2

8/3/2019 Primetime Px Brief

http://slidepdf.com/reader/full/primetime-px-brief 2/2

PrimeTime PX Solution Features

• Enabling Full-Chip Concurrent Timing,

SI, and Power Analysis

The unified analysis environment enables

designers to perform accurate leakage anddynamic power analysis along with timing

and SI analysis. Additionally, the concurrent

analysis in a single tool enables faster results

and improves designer productivity. With an

easy-to-use methodology for timing, signal

integrity, and power analysis, designers can

now better understand the trade-offs and

effects on these three critical design

parameters.

• Vector-Free Dynamic Power AnalysisThe vector-free dynamic power analysis

enables power analysis to be performed

without waiting for switching data from

simulation. By using the PrimeTime solution’s

accurate timing windows, vector-free

analysis allows accurate power analysis

to be done early in the design flow and

to identify blocks with the highest power

consumption earlier.

• PrimeTime Flow and Features

As an extension of PrimeTime, PrimeTime PXis easy to use and adopt. It uses the familiar

PrimeTime flow, with the same commands,

user interface, reports, attributes, and

capabilities.

By combining timing, signal integrity and

power analysis into a single tool and

environment, identical operations are not

repeated. For example, timing and slew

calculations are not repeated. Netlist, para-sitic and constraint file reads

are not repeated, and tool

setup steps are not repeated.

As a result, the PrimeTime

PX solution delivers up to

two times (2x) faster TTR

over separate, standalone

solutions. Furthermore, as

an integral part of the

PrimeTime environment,

power analysis can beperformed using the same

PrimeTime commands,

reports, attributes, and

multiple debugging features.

With PrimeTime PX power analysis, the

Synopsys Galaxy™ Design Platform offers

designers a unified analysis environment

for timing, signal integrity, and power that

is anchored by the PrimeTime solution and

provides designers with the highest pro-

ductivity and a predictable path to silicon

for their most complex designs.

• Additional Features

- VCD-based or SAIF-based dynamic

power analysis

- RTL or gate-level VCD and SAIF

support

- Peak or average power analysis

- Clock tree power estimation

- Analysis of advanced low power design

techniques: multi-voltage, MTCMOS

- What-if analysis

- Save and restore

- Mode/case analysis

- Supports industry-standard NLPM and

CCS Power libraries

- Power analysis driver GUI window

PrimeTime

Timing SignalIntegrity

Power

he PrimeTime Solution - Concurrent timing, SI and power analysis

700 East Middlefield Road, Mountain View, CA 94043 T 650 962 5000 www.synopsys.com

Synopsys, the Synopsys logo, PrimeTime are registered trademarks and Galaxy are trademarks of Synopsys, Inc.

All other products or service names mentioned herein are trademarks of their respective holde rs and should be treated as such. Printed in the U.S.A.

©2006 Synopsys, Inc. 05/06.CEF.CPR.06-14504

top related