advanced optical lithography development, from uv to euv

14
Microelectronic Engineering 61–62 (2002) 11–24 www.elsevier.com / locate / mee Advanced optical lithography development, from UV to EUV Bernard Fay Nikon Research Corporation of America, 1399 Shoreway Road, Belmont, CA 94002, USA Abstract This paper will review the development of advanced optical lithography starting from the beginning of the wafer stepper era in the early 1980s and projecting into the future. The evolution of optical lithography technology, from the first g-line wafer steppers to the current 248 nm and 193 nm scanners will be highlighted. For illustration of each specific type of optical tool, examples will be taken mainly from the Nikon product line. The demise of optical or photon-imaging lithography has been predicted countless times, starting many device generations ago, as the ratio of printed linewidth over wavelength steadily decreased. But the combination of shrinking photon wavelength, increasing optic numerical aperture and more recently the growing use of resolution enhancement techniques has managed to continuously reinvigorate optical lithography. Today, the future of optical lithography is as bright as ever. An outline of upcoming optical lithography developments at 157 nm and at 13.5 nm (EUV) wavelengths will be presented. 2002 Elsevier Science B.V. All rights reserved. Keywords: Optical lithography; Wafer steppers; Scanners 1. Introduction Optical lithography has been the driving force behind the miniaturization of integrated circuits, since the first ICs were produced at Fairchild and at Texas Instruments in the early 1960s. From the onset, optical lithography has always managed to keep pace with Moore’s law, including its recent acceleration. To keep pace with the shrinking feature size, a steady stream of improvements have been introduced time after time, and have enabled optical lithography to hold off the challenges of competing lithography technologies. In the very early days, lithography technology was limited to 1 3 contact printing using disposable masks. Then proximity printing was introduced to reduce mask wear. The feature sizes were of the order of a few microns at that time. Then 1 3 projection was introduced, using a 1 3 full field projection optics between the mask and the wafer and achieving a resolution of the order of 2–3 microns. In parallel with feature size reduction, wafer size was increasing also at a steady pace, starting from the initial 1- and 2-inch diameter. When the wafer size reached 3 to 4 inches, and the resolution 0167-9317 / 02 / $ – see front matter 2002 Elsevier Science B.V. All rights reserved. PII: S0167-9317(02)00427-6

Upload: bernard-fay

Post on 16-Sep-2016

217 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: Advanced optical lithography development, from UV to EUV

Microelectronic Engineering 61–62 (2002) 11–24www.elsevier.com/ locate /mee

Advanced optical lithography development, from UV to EUV

Bernard FayNikon Research Corporation of America, 1399 Shoreway Road, Belmont, CA 94002, USA

Abstract

This paper will review the development of advanced optical lithography starting from the beginning of thewafer stepper era in the early 1980s and projecting into the future. The evolution of optical lithographytechnology, from the first g-line wafer steppers to the current 248 nm and 193 nm scanners will be highlighted.For illustration of each specific type of optical tool, examples will be taken mainly from the Nikon product line.The demise of optical or photon-imaging lithography has been predicted countless times, starting many devicegenerations ago, as the ratio of printed linewidth over wavelength steadily decreased. But the combination ofshrinking photon wavelength, increasing optic numerical aperture and more recently the growing use ofresolution enhancement techniques has managed to continuously reinvigorate optical lithography. Today, thefuture of optical lithography is as bright as ever. An outline of upcoming optical lithography developments at157 nm and at 13.5 nm (EUV) wavelengths will be presented. 2002 Elsevier Science B.V. All rightsreserved.

Keywords: Optical lithography; Wafer steppers; Scanners

1. Introduction

Optical lithography has been the driving force behind the miniaturization of integrated circuits,since the first ICs were produced at Fairchild and at Texas Instruments in the early 1960s. From theonset, optical lithography has always managed to keep pace with Moore’s law, including its recentacceleration. To keep pace with the shrinking feature size, a steady stream of improvements have beenintroduced time after time, and have enabled optical lithography to hold off the challenges ofcompeting lithography technologies.

In the very early days, lithography technology was limited to 1 3 contact printing using disposablemasks. Then proximity printing was introduced to reduce mask wear. The feature sizes were of theorder of a few microns at that time. Then 1 3 projection was introduced, using a 1 3 full fieldprojection optics between the mask and the wafer and achieving a resolution of the order of 2–3microns.

In parallel with feature size reduction, wafer size was increasing also at a steady pace, starting fromthe initial 1- and 2-inch diameter. When the wafer size reached 3 to 4 inches, and the resolution

0167-9317/02/$ – see front matter 2002 Elsevier Science B.V. All rights reserved.PI I : S0167-9317( 02 )00427-6

Page 2: Advanced optical lithography development, from UV to EUV

12 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

decreased below 2–3 microns, 1 3 scanning projection systems introduced by Perkin-Elmer becamethe tools of choice for several years, achieving resolution in the 3 to 1.5 micron range. However, asthe wafer size continued to grow, towards 5 and 6 inches, 1 3 scanning systems became limited byoverlay errors, primarily caused by wafer distortion. A new advance was needed.

In the late 1970s, there had been several attempts at converting reduction step and repeat camerasused for mask-making into wafer step and repeat exposure systems. The first commercial waferstepper was introduced by GCA Corporation at the Microcircuit Engineering conference, held in Parisin 1977, 25 years ago.

This date marks the beginning of advanced optical lithography, which I will define as PhotonReduction Imaging Lithography (PRIL). With this definition, advanced optical lithography en-compasses Extreme Ultra Violet Lithography (EUVL). Advanced optical lithography which hasenabled the stunning growth of the semiconductor industry since the late 1970s, will in all likelihoodcontinue to support several more device generations as the photon wavelength continues to shrinkfrom the current state of the art 193 nm to the next generations of 157 nm and EUVL systems.

Reduction imaging which was introduced with the first wafer stepper is a key attribute of advancedoptical lithography because it relaxes the dimensional requirements on the mask (CD control andpattern placement accuracy) by a factor equal to the reduction ratio (10 3 to 4 3 ).

The evolution of advanced lithography (or Photon Reduction Imaging Lithography) tool develop-ment from the first wafer stepper to the future EUVL reduction scanners will be reviewed in thispaper. It is convenient to consider three generations of exposure tools.

1. Stepper systems (from 1977 to present).2. Scanner systems (from 1985 to present).3. Future systems (from 2003 on).

For the first two generations, the emphasis of the paper will be on the evolution of the system opticsand of the k1 process factor. For the third generation, the emphasis will be on the challenges andcritical issues facing advanced optical lithography at 157 nm and at 13 nm wavelengths.

2. Stepper systems (from 1977 to present)

2.1. g-line steppers

GCA was the first company to announce a production-worthy reduction wafer stepper, the GCA4800 DSW, with a laser interferometer-controlled wafer stage, auto focus and two-point waferalignment. The first public introduction was made at the European Microcircuit Engineeringconference held in Paris, France in September 1977. The GCA 4800 was equipped with a g-line Zeisslens, 0.28 NA, 103 reduction ratio, with a field size of 10310 mm, and offered a throughput of 604-inch wafers per hour. An optional 53 lens with larger field and lower resolution was available. TheGCA 4800 quickly became a commercial success for the production of devices down to 1.25 micron.Shipments started in the second half of 1978, and by the end of 1978, 13 units had been delivered.

It was around that time that Nikon, which was then an established camera and precision opticscompany, decided to enter the optical lithography market. Nikon introduced its first wafer stepper, the

Page 3: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 13

NSR-1010G in 1980. This was a g-line stepper, with 103 reduction ratio, 0.35 NA, a 10310 mmfield and featuring a resolution of 1 micron.

The stepper market grew very rapidly in the early 1980s. There were many companies worldwideoffering g-line wafer steppers (GCA, Nikon, Canon, TRE, Perkin-Elmer /Censor, ASML, Optimetrix).The intense competition between all of these companies fueled the rapid development of advancedstepper technology.

The evolutionary development of advanced lithography lenses occurred on many fronts simul-taneously.

• Numerical aperture increase: from 0.28 to 0.35 to 0.40 to 0.48 to 0.53 to 0.60 to 0.63.• Image field size increase: from 10310 mm to 15315 mm to 20320 mm to 22322 mm.• Reduction ratio decrease: from 103 to 53 (because of larger image field).• Wavelength decrease: from 436 nm (g-line) to 365 nm (i-line) to 248 nm (KrF).

On the system hardware side, wafer stages of higher precision and higher speed were beingcontinuously introduced, improved automatic alignment systems and increased automation were beingcontinuously developed and incorporated into production tools.

Fig. 1 represents the history of wavelength change at Nikon, as measured by the number of steppersof each wavelength sold yearly.

Sales of g-line steppers show a first peak in 1984, just before the 1985 recession year, thenrecovered and peaked again in 1988. Sales of g-line tools started to fall off in 1989 as i-line tool saleswere ramping up. Sales of i-line tools peaked in 1995, and decreased in 1996 as sales of KrF systemswere ramping up.

2.2. i-line steppers

The first i-line steppers were introduced in the mid-1980s. The initial i-line lenses were affected bylens heating problems due to the high absorption at 365 nm in some optical glasses. But these

Fig. 1. Yearly sales volume of Nikon steppers by wavelength.

Page 4: Advanced optical lithography development, from UV to EUV

14 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

problems were quickly solved, and in the late 1980s, the first wavelength decrease, from 436 nmg-line to 365 nm i-line was implemented in production.

An important milestone of i-line lithography was the publication of printing results by Nikon atSPIE 1990 using an experimental small field (535 mm), very high NA (0.65), 103 i-line lens,showing excellent image quality of 0.35 micron dense lines with large DOF, corresponding to a k1factor value of 0.63 [1]. This result clearly demonstrated the potential of i-line lithography for sub-halfmicron lithography, showing that advanced optical lithography could be used to print excellentpatterns with dimensions slightly less than the exposure wavelength.

During the 15 years from 1980 to 1995, the complexity and size of projection lenses increasedconsiderably. This can be seen readily from the picture of Fig. 2 showing the first Nikon 103 g-linestepper lens of 1980 with 10310 mm field size next to the 53, 0.63 NA i-line lens of 1995 with22322 mm field size.

2.3. 248 nm steppers

After 365 nm, the next wavelength was also a mercury lamp line, at 248 nm. This wavelength wasfirst utilized in the last generation 13 reflective scanners from Perkin-Elmer.

The first reduction 248 nm step and repeat system was developed at Bell Labs. In 1986, Bell Labsreported at SPIE the first results obtained from a 248 nm wafer stepper consisting of a GCA 4800DSW stepper body equipped with an all quartz lens designed by Bell Labs and built by Tropel [2].The lens had an NA of 0.38 and a field size of 14 mm to 20 mm diameter. Because the lens was madeof a single material, chromatic correction usually achieved by mixing different glass materials was notpossible, so the light source linewidth had to be narrowed considerably. This dictated the choice forlight source of a 248 nm KrF excimer laser, with a line narrowed bandwidth of 5 pm. This toolachieved a resolution of 0.5 to 0.4 micron.

By 1990, all lithography suppliers offered KrF steppers, but the use of KrF steppers in production

Fig. 2. Evolution of stepper lenses, from 1980 to 1995.

Page 5: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 15

was delayed until around 1996, mainly because new, improved i-line steppers kept filling the newequipment slots because of their lower operating costs and higher process stability.

2.4. The k1 factor

It is appropriate to focus now on the k1 factor, and on its evolution during the last 20 years.The k1 factor is the process-dependent coefficient of the resolution criterion for a diffraction limited

lens, by which the half-pitch of a printed line and space pattern is given in terms of the NA and thewavelength l by the Rayleigh equation:

R 5 k1 l /NA. (1)

So in order to decrease the printed feature size, there are only three options: increase the NA of thelens, decrease the wavelength or decrease the process factor k1.

For many years, until the early 1990s there was a sacred rule in manufacturing stating that for alithography process to be manufacturable with high yield, the k1 factor could not be less than 0.8. Fordevelopment though, a k1 factor of 0.6 was acceptable.

At the SPIE 1990 conference, Lin, of IBM, delivered a paper entitled ‘Methods to print opticalimages at low k1 factor’ in which he challenged the belief that the k1 factor of 0.8 was an immovableconstant [3]. He correctly identified three main causes for the high k1 value requirement.

1. Imperfections in the imaging system: stray light, vibration, lens aberrations.2. Diffraction effects which exist even for perfect imaging systems and which can be reduced by

optimizing partial coherence and applying proximity effect correction.3. Imperfect conditions at the recording media, i.e. the photoresist and the substrate (causing

reflection and standing waves).

In addition, as we now know there are several additional ways to reduce diffraction effects andwork with lower k1 factor by acting on the illumination system (off-axis illumination), on the mask(phase shift mask) and more recently by using double exposure methods combined with phase shiftmasks.

From the early 1980s onward, advanced optical lithography has managed to repel successfully allchallenges from Next Generation Lithographies, primarily from X-ray lithography. The primary tacticused to extend optical lithography was to increase the NA up to the practical limit, then switch to ashorter wavelength. However, starting in around 1995, lowering the k1 process factor became anacceptable way to extend advanced optical lithography and in the process, to preserve and augment allthe learning accumulated in optical lithography manufacturing. Process optimization, increased use ofmodeling and simulation, increased planarization and increased system characterization becameessential tools for controlling low k1 factor lithography processes in a production environment.

The first instance of k1 factor reduction occurred when in the early 1990s, semiconductormanufacturers were ready to ramp up production of half micron devices for the 16M DRAMgeneration. Fig. 3 is a plot of the relationship of Eq. (1) showing NA vs. k1 factor for a resolution ofhalf micron or 500 nm and for three possible exposure wavelengths: 436, 365 and 248 nm.

From Fig. 3, we see that with a k1 factor of 0.8, g-line steppers needed a lens with NA of 0.7,

Page 6: Advanced optical lithography development, from UV to EUV

16 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

Fig. 3. Options for the half micron node (500 nm).

which did not exist at the time. Similarly, i-line steppers needed a 0.58 NA lens to maintain the k1factor at 0.8. However with a 248 nm DUV stepper, the required lens NA was only 0.40, a relativelyrelaxed requirement. This was a potent argument to switch from i-line to DUV. However the status of248 nm resists was not mature enough, while many high performance i-line resists became available.So the bulk of 16M DRAM production was performed using i-line tools with NA around 0.5 and alower k1 factor value of 0.7.

For the 64M generation, at the 0.35 micron node, there was again a choice to make between i-lineand 248 nm. The choice was between 0.5 NA 248 nm with a k1 factor of 0.7 or 0.63 NA i-line with ak1 factor of 0.6. Again, i-line prevailed and the k1 factor was lowered another notch in production.The insertion of 248 nm DUV was therefore postponed until the next generation, at the 250 nm node.By that time, the step and scan technology was starting to mature and to displace step and repeattechnology, because of superior imaging performance and tool productivity.

3. Scanner systems (from 1985 to present)

As the requirements on image field size continued to increase beyond 22322 mm, in addition toincreasing NA, it became impractical to build larger and larger lenses. The alternative was to changethe system architecture from step and repeat technology to step and scan technology. Fig. 4 highlightsthe differences between the two approaches.

For a lens with an image field diameter of D, the largest area image field that can be accommodatedis a square field of edge length D/œ2 in the case of a step and repeat system. For a step and scansystem, only a smaller, but longer portion of the lens field is used to image only a slit portion of themask. In order to cover the full mask active area, the slit image is scanned across the mask (reticle).The first advantage of the step and scan approach is a larger image field size, limited in the scandirection only by the stage travel. A second advantage is that the reduced portion of the lens imagefield can be better corrected for aberrations. A third advantage is that focus compensation can be

Page 7: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 17

Fig. 4. Step and scan versus step and repeat approaches.

applied during the scan to compensate for wafer focal plane deviations. The main drawback lies in theincreased mechanical complexity of the system, requiring synchronous scanning of reticle and waferstages moving at different velocities

From Fig. 4 it can be seen that the equivalent gain in effective image field diameter is 1.4 for asquare mask field and is even larger for a rectangular mask field.

3.1. 248 nm scanners

The first step and scan reduction system, the Micrascan I, was developed by Perkin-Elmer (andIBM) and delivered to IBM in 1985 [4]. The optics was all reflective and had an NA of 0.35, whichwas good enough to print 0.5 micron features with a k1 factor of 0.7. The light source was a Hg–Xearc lamp producing a 250 nm line with a bandwidth of 20 nm. The magnification ratio was 43 andthe printed field size was 20332.5 mm. This system was used for 0.5 micron process development atIBM.

The Micrascan I was followed in 1993 by the Micrascan II, with improved 0.5 NA catadioptricoptics. This tool was capable of printing 0.35 micron with a k1 factor of 0.7. But as we saw in theprevious section, the tool of choice for the 0.35 micron node was the high NA i-line stepper.

At that time, all major stepper companies were developing their own step and scan systems for the0.25 micron node. The reduction ratio of 43 was adopted for all scanners under development. Nikonannounced its first 248 nm scanner, the NSR-201A at Semicon Japan in 1994. The lens was allrefractive, 0.6 NA with a field size of 25333 mm. ASML and Canon both introduced their 248 nmstep and scan systems a bit later.

The 248 nm steppers and scanners were the tools of choice for the 0.25 micron node. Increased NAand further reduction in the k1 factor made 248 nm scanners the tools of choice for the 180 nm node.It looks now that the latest high NA (0.75 and greater) KrF scanners will be the tools of choice for the130 nm node.

Page 8: Advanced optical lithography development, from UV to EUV

18 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

3.2. 193 nm scanners

The first 193 nm full field scanner was developed by SVGL in the early 1990s and was delivered tothe MIT Lincoln at the end of 1993, where it was used for several years for 193 nm processdevelopment. During the next few years, 193 nm process development took place in many otherresearch laboratories worldwide, using small field micro-stepper tools. Materials issues associatedwith 193 nm lenses (absorption, radiation damage, homogeneity) and resists were studied in detail.There were also new tool issues associated with N purging and with optical component lifetime. The2

problems were eventually solved, and by 1999, several suppliers were offering first generationproduction tools with NA of 0.6. Although these tools were targeted at the 150 and 130 nm nodes,they were not used in early production, primarily because no production-worthy single layer resist wasavailable. However second generation 193 nm scanner tools, with NAs in the 0.75 to 0.78 range, arenow leading candidates for assuming production at the 100 nm node.

3.3. Trends in NA and k1 factor

Fig. 5a and b shows the trend in NA and k1 values over the last 20 years, for all exposurewavelengths, from 436 to 193 nm. These data have been taken directly from the Nikon productliterature. The NA plot shows a steady upward trend year after year. The k1 plot shows a rather flatportion until about 1993–1994. Afterwards, the trend for KrF tools shows a steady decline that can beextrapolated to approach 0.4 in 2002.

The downward trend in k1 will in most likelihood continue as KrF and ArF scanners are extendedtoward the 100 nm or 90 nm node. It should be noted that with current state of the art scanners andresist processes, a k1 factor value as low as 0.42 can be achieved with simple COG (chrome on glass)masks.

Below this value, a combination of OPC (optical proximity correction) and attenuated PSM(attenuated phase shift masks) can drop the k1 factor value to the range 0.35 to 0.42.

Further below, the double exposure technique associated with strong PSM can provide k1 factorvalues in the range 0.27 to 0.35.

Fig. 6 is another plot of NA vs. k1 factor this time for the 100 nm node, comparing KrF and ArFtechnologies. For the 100 nm node, a possible choice could be between a 0.78 NA ArF tool with a k1value of 0.40 or a 0.75 NA KrF tool with a k1 of 0.30. If a higher NA KrF tool, such as 0.85 NA wasavailable, it would require a k1 value of 0.34. The probable first choice would be the high NA ArFtool with the k1 value of 0.40.

4. Future systems

Future Photon Reduction Imaging Lithography systems beyond 193 nm will use the 157 nm F2

laser wavelength and later the 13.4 nm EUV wavelength. They will all be of the step and scanreduction type.

Page 9: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 19

Fig. 5. (a) NA trend for Nikon tools the last 20 years (data collected by M. Kameyama). (b) k1 trend for the last 20 years(data from Nikon catalogue, collected by M. Kameyama).

4.1. 157 nm scanners

In 1997, 157 nm was not even mentioned in the ITRS roadmap. It was rejected because it wasperceived to be a single generation technology, not worth the effort of developing it, compared to thepromises of the accepted Next Generation Lithography (NGL) technologies (X-ray proximity, EUVL,EPL and IPL). Serious lack of transmission in lens materials and gases were thought to beun-surmountable problems, requiring all reflective optics and vacuum ambient. However in 1998,because progress in NGL was generally felt to be occurring too slowly, 157 nm technology suddenlyemerged as a real possible extension of optical lithography. Sematech quickly organized severalworkshops at 6-month intervals to review the status of the technology and to decide on action itemsrequired to solve critical issues. The whole lithography infrastructure responded to the challenge and

Page 10: Advanced optical lithography development, from UV to EUV

20 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

Fig. 6. Options for the 100 nm node.

rapid progress was accomplished on many fronts. Today, 157 nm is the leading candidate technologyfor supporting the 70 nm node.

The 157 nm technology is not a simple extension of 193 nm lithography. There are manydifferences to consider in the areas of optics and systems in general, many of which are also criticalissues

4.1.1. Optics

• Refractive material: CaF is the only good lens material at present with sufficient optical2

transmission. The most promising second material, BaF , requires considerable material develop-2

ment.• Lens type: high NA (NA.0.8) all-refractive lenses require a 157 nm laser bandwidth of 0.15 pm

in the single material case, and a bandwidth of 0.5 to 0.6 pm in the case of a two-material lens.Catadioptric lenses can accept a larger bandwidth of 0.5 to 1 pm.

• Lens structure: catadioptric lenses are the preferred lens choice, because availability of BaF or of2

ultra-narrowband laser is uncertain. However, they are multiple-axis lenses mechanically morecomplex than single-axis all-refractive lenses.

4.1.2. System

• Purging: 157 nm radiation is strongly absorbed by oxygen and by water. Therefore in the entireoptical path, air must be replaced by high purity nitrogen or helium, with allowed residual oxygenand water levels in the ppm and sub-ppm range. The entire system must be purged and extraprecautions must be taken to avoid outgassing of water and hydrocarbons from all exposedsurfaces.

• Pellicle: currently no suitable thin pellicle material with adequate lifetime and transmission is

Page 11: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 21

available, although intense materials development activity is taking place. Hard pellicles or nopellicle are back-up solutions. There are serious system design implications if a no pellicle solutionmust be adopted.

• Resists: absorption of 157 nm radiation is so high in most polymer materials that single layerresists can only be used in very thin layer form (less than 150 nm). New materials must bedeveloped, or bilayer resist systems must be used.

• Mask blank: standard fused silica mask blanks must be replaced by fluorine doped, low OHcontent, modified fused silica blanks.

• Laser: the F laser has an inherently narrow double line emission near 157 nm. So achieving a2

bandwidth of 1 to 0.5 pm is a relatively easy task. Achieving ultra-narrow linewidth of 0.1 to 0.2pm is feasible but very complex and costly.

Fig. 7 shows NA vs. k1 factor for the 70 nm node, for KrF, ArF and F lithographies.2

We see that it may still be possible to extend ArF and KrF, but only at the expense of extremelylow k1 factor value as shown in Fig. 7. A 0.85 NA KrF tool would need a k1 value of 0.24 to handlethe 70 nm node, and a 0.85 NA ArF tool would require a k1 value of 0.31. On the other hand a 0.85NA F tool would require a k1 value of 0.38, which looks like the best choice.2

The first beta production 157 nm scanners will probably be introduced in 2003–2005, targeted atthe 70 nm node. The lens will most probably be of catadioptric type, with NA greater than 0.8, with ascanned field size of 22333 mm and a reduction ratio of 43. Recently, NIST confirmed the validityof initial measurements of sizeable intrinsic birefringence coefficients in CaF crystals [5]. The impact2

on the tool delivery schedule of the corrective measures to be implemented by lens makers is still notfully determined.

Fig. 8 shows NA vs. k1 factor for the 50 nm node, for both 157 nm and 13.4 nm lithographies. Itshows that F lithography would require a k1 value of 0.27, still possible with extreme RET. On the2

other hand EUVL with 0.25 NA would allow to operate with a k1 value greater than 0.9. This is avery strong motivation to develop EUVL for the 50 nm node and beyond.

Fig. 7. Options for the 70 nm node.

Page 12: Advanced optical lithography development, from UV to EUV

22 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

Fig. 8. Options for the 50 nm node.

4.2. 13 nm EUVL scanners

Early research on extreme ultraviolet lithography (EUVL) was conducted at several researchlaboratories in the US and in Japan in the late 1980s.

The EUVL wavelength corresponds to extremely soft X-rays in the 10 to 14 nm range, with aphoton energy in the range of 90 to 120 eV. At these wavelengths, there are no transparent materials,so the only focusing means are reflecting mirror lenses. The wavelength range of EUVL, between 10and 14 nm, is determined by the properties of high reflectivity multilayer coatings. In particular thewavelength choice of 13.4 nm is associated with Mo–Si multilayer coatings, which can provide up to70% reflection coefficient at normal incidence.

Again there are many critical issues with EUVL in the optics and in the system areas.

4.2.1. Optics

• All reflective optics: because of the low reflection coefficient of multilayers, the number of mirrorsmust be kept at the minimum possible value (four mirrors for 0.1 NA, six mirrors for 0.25 NA).

• Very stringent fabrication tolerances on mirrors, many of them aspheric mirrors: figure error,MSFR and HSFR in the 0.1 to 0.2 nm rms range.

4.2.2. System

• Source: very high power (.70 W) and very low debris laser plasma source of plasma dischargesource must be developed.

• Environmental control: low pressure helium environment required, control of photochemicaldeposition on mirror surfaces required.

• Thermal management: complex thermal management because of power absorbed in reflectingmirrors.

Page 13: Advanced optical lithography development, from UV to EUV

B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24 23

• Low defect mask blank and mask patterning processes must be developed.• Particulate control techniques without pellicle needed.

The magnitude of the development work needed to bring EUVL to the production stage is such thatno single supplier company can develop EUVL alone. The EUV LLC consortium in the US, theASET program in Japan and the Euclides program in Europe, have all carried through a significantamount of development work during the last few years, and have brought EUVL from the stage of alaboratory curiosity to the stage of early process development tools. Several demonstration exposuretools have been built (the ETS four-mirror scanner at Sandia Labs, a three-mirror scanner at theHimeji Institute of technology, and several two-mirror micro-exposure tools which have beencompleted or are near completion in the US, in Europe and in Japan).

The first beta production EUVL scanners will probably be introduced in 2007 targeted at the 50 nmnode. The optics will most probably follow a six-mirror, 0.25 NA design. Beyond that, it is extremelylikely that EUVL will be extended to cover the 30 nm node.

5. Conclusion

In summary, we have seen that advanced optical lithography, or photon reduction imaginglithography (PRIL) was born in 1977 when the first commercial wafer stepper was introduced. Sincethat date, PRIL has been the major enabler for sustaining the rapid growth rate of the semiconductorindustry in the last 20 years. There is little doubt that PRIL will continue to support IC manufacturingthrough at least 2010. The evolution of advanced optical lithography, or PRIL, can be condensed inthree main phases.

In the first phase of advanced optical lithography development, stepper technology evolved througha series of NA increases and wavelength reductions until around 1995. During all that time, the k1factor in production remained at a fairly high value around between 0.8 and 0.7.

The second phase of advanced optical lithography development begins in 1985 with theintroduction of the first scanner system. However it is only after 1996 that scanner tools started torapidly supplant stepper tools in manufacturing. At the same time increased level of tool characteriza-tion, of modeling and simulation, of resolution enhancement techniques, of advanced processdevelopment have all contributed to a steady decrease of the k1 factor value down to a value ofaround 0.4 today. The reduction of the k1 factor has extended the life of i-line and KrF tools wellbeyond the original estimates. How far below 0.4 the k1 factor can be further reduced will bedetermined primarily by economic considerations.

The third phase of advanced lithography will begin when the F scanner is introduced in production2

in 2003–2005 for the 70 nm node. It will be characterized by a greatly increased system complexitydue to optical materials issues, contamination issues, and continued k1 factor decrease. Beyond 157nm, EUV lithography at 13.4 nm wavelength will be introduced, starting around 2007 for the 50 nmnode. It will be accompanied by a radical increase in the k1 factor value, but also by further increasein system complexity and environmental control requirements, as well as the need for a newinfrastructure, particularly in the area of sources and masks. Global cooperation will be essential tobring up the new infrastructure needed in time. Once introduced, EUVL will extend PRIL at least tothe 30 nm node.

Page 14: Advanced optical lithography development, from UV to EUV

24 B. Fay / Microelectronic Engineering 61 –62 (2002) 11 –24

Acknowledgements

The author would like to thank the following persons for providing some of the information used inthe paper: S. Owa, K. Murakami and M. Kameyama from Nikon Corporation, Japan, H. Shimizu fromNikon Precision Inc., A. Tobey from ACT International and G. Varnell from Nikon ResearchCorporation of America.

References

[1] S. Nakamura, K. Matsumoto, K. Ushida, M. Kameyama, Image quality of higher NA i-line projection lens, SPIEOptical /Laser Microlithography III Vol. 1264 (1990) 84–93.

[2] V. Pol, J. Bennewitz, G. Escher, M. Feldman, V. Firtion, T. Jewell, B. Willcomb, J. Clemens, Excimer laser-basedlithography: a deep ultraviolet wafer stepper, SPIE Optical Microlithography V Vol. 633 (1986) 6–16.

[3] B.J. Lin, Methods to print optical images at low k1 factors, SPIE Optical /Laser Microlithography III Vol. 1264 (1990)2–13.

[4] J. Buckley, C. Karatzas, Step and scan: a system overview of a new lithography tool, SPIE Optical /LaserMicrolithography II Vol. 1088 (1989) 424–433.

[5] J. Burnett, Z. Levine, E. Shirley, Intrinsic birefringence in 157 nm materials, in: 2nd International Symposium on 157nm Lithography, May 14–17, Dana Point, CA, 2001.